神戸大学大学院 科学技術イノベーション研究科
Conference papers
国際会議論文(査読有り)

ALL
001
Junichi Sakamoto, Hirofumi Sakane, Yohei Hori, Shinichi Kawamura, Yuichi Hayashi, Makoto Nagata, "Non-Destructive Hardware Trojan Circuit Screening by Backside Near Infrared Imaging," in Proceedings of the 2023 IEEE Physical Assurance and Inspection of Electronics (PAINE), VIII-B-4, pp. 1-7, Oct. 2023. (Huntsville)
002
Makoto Nagata, Naofumi Homma, Yuichi Hayashi, "Hardware Supply Chain Security and EM Tricks," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #281, pp. 1-4, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274179
003
Ryota Sakai, Koh Watanabe, Sosuke Ashida, Hiraku Uehara, Satoshi Tanaka, Makoto Nagata, "Impact of Emission Noise and Electromagnetic Shielding on Mobile Communication Systems in Unmanned Aerial Vehicles," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #279, pp. 1-4, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274281
004
Yuichi Hayashi, Frank Leferink, Makoto Nagata, "Introduction to Physical Layer Security and Hardware Supply Chain Security: EM Tricks to Keep Your Information and Devices Safe," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #175, pp. 1-6, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274206
005
Hiroshi Suenaga, Makoto Nagata, "Prediction of Automotive Radiated Emission Using Machine Learning," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #120, pp. 1-5, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274225
006
Tokio Futaya, Raisei Mizokuchi, Misato Taguchi, Takuji Miki, Makoto Nagata, Jun Yoneda, Tetsuo Kodera "Cryogenic Inter-chip Connection for Silicon Qubit Devices," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), B-1-02, pp.69-70, Sep. 2023. (Nagoya)
007
Kazuki Monta, Makoto Nagata, Josep Balasch, Ingrid Verbauwhede, "ON THE UNPREDICTABILITY OF SPICE SIMULATIONS FOR SIDE-CHANNEL LEAKAGE VERIFICATION OF MASKED CRYPTOGRAPHIC CIRCUITS," ACM/IEEE Design Automation Conference (DAC 2023), Jul. 2023. (San Francisco)DOI: 10.1109/DAC56929.2023.10247834
008
Kazuki Monta, Makoto Nagata, Josep Balasch, Ingrid Verbauwhede, "ON THE UNPREDICTABILITY OF SPICE SIMULATIONS FOR SIDE-CHANNEL LEAKAGE VERIFICATION OF MASKED CRYPTOGRAPHIC CIRCUITS," ACM/IEEE Design Automation Conference (DAC 2023), Jul. 2023. (San Francisco)
009
Naoya Watanabe, Yuuki Araga, Haruo Shimamoto, Makoto Nagata, Katsuya Kikuchi, "Formation and 3D Stacking Process of CMOS Chips with Backside Buried Metal Power Distribution Networks," in Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC 2023), pp. 1792-1797, June 2023. (Orlando)
010
Takuya Wadatsumi, Rikuu Hasegawa, Kazuki Monta, Takaaki Okidono, Takuji Miki, Makoto Nagata, "A Si-Interposer with Buried Cu Metal Stripes and Bonded to Si-Substrate Backside for Security IC Chips," in Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC 2023), pp. 951-954, June 2023. (Orlando)
011
Kazuki Monta, Takumi Matsumaru, Takaaki Okidono, Takuji Miki, Makoto Nagata, "Side-Channel Leakage Evaluation of Multi-Chip Cryptographic Modules," Workshop on Nano Security at DATE2023, Apr. 2023. (Antwelp)
012
Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Kazuki Monta, Takuji Miki, Makoto Nagata, "Characterization of Backside ESD Impacts on Integrated Circuits," IEEE International Reliability Physics Symposium (IRPS 2023), #P22, Mar. 2023. (Monterey)DOI: 10.1109/IRPS48203.2023.10118240
013
Noriyuki Miura, Kotaro Naruse, Jun Shiomi, Yoshihiro Midoh, Tetsuya Hirose, Takaaki Okidono, Takuji Miki, Makoto Nagata, "A Triturated Sensing System," 2023 IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 216-217, #13.3, Feb. 2023.
014
Koh Watanabe, Ryota Sakai, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Evaluation of Emission Noise from PCBs Inside an Industrial Unmanned Aerial Vehicle," in Proceedings of the 2022 Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC), FR-AM2-SS07-04, #1570784699, pp. 1, Sep, 2022. (Beijing)
015
Kazuki Monta, Makoto Nagata, Lang Lin, Jimin Wen, Preeti Gupta, Norman Chang, "RTL DESIGN SECURITY VERIFICATION FOR RESISTING POWER SIDE-CHANNEL ANALYSIS," ACM/IEEE Design Automation Conference (DAC 2022), Engineering Tracks, Jul. 2022. (San Francisco)
016
Makoto Nagata, "Exploring Fault Injection Attack Resilience of Secure IC Chips," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS 2022), #11C.1, pp. 1-6, Mar, 2022. (online)DOI: 10.1109/IRPS48227.2022.9764485
017
Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Kikuo Muramatsu, Hiromu Hasegawa, Takuya Sawada, Takahito Fukushima, Hisashi Kondo, Takuji Miki, Makoto Nagata, "Voltage Surges by Backside ESD Impacts on IC Chip in Flip Chip Packaging," in Proceedings of IEEE International Reliability Physics Symposium (IRPS 2022), #P14, pp.1-6, Mar. 2022. (online) DOI: 10.1109/IRPS48227.2022.9764457
018
Koh Watanabe, Takuya Wadatsumi, Kazuki Monta, Mai Aoi, Misaki Komatsu, Ryota Sakai, Satoshi Tanaka, Takuji Miki, Makoto Nagata, "Near Field Measurements of Sub-Millimeter-Wave Noise Emission from Digital Integrated Circuits," in Proceedings of the 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2021), pp. 45-47, Mar. 2022. (online)DOI: 10.1109/EMCCompo52133.2022.9758594
019
Koh Watanabe, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Measurements of Electromagnetic Emission Inside Industrial Unmanned Aerial Vehicles," in Proceedings of the 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2021), pp. 25-28, Mar. 2022. (online)DOI: 10.1109/EMCCompo52133.2022.9758595
020
Lang Lin, Deqi Zhu, Jimin Wen, Hua Chen, Yu Lu, Norman Chang, Calvin Chow, Harsh Shrivastav, Chia-Wei Chen, Kazuki Monta, Makoto Nagata, "Multiphysics Simulation of EM Side-Channels from Silicon Backside with ML-based Auto-POI Identification," in Proceedings of the 2021 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 270-280, Dec. 2021.DOI: 10.1109/HOST49136.2021.9702270
021
Koh Watanabe, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Measurements of Electromagnetic Emission nearby a Compact Drone," in Proceedings of the 2021 Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC), pp. 1-4, Sep. 2021. (Bali/Online)DOI: 10.1109/APEMC49932.2021.9597146
022
Hiroki Sonoda, Takuji Miki, Makoto Nagata, "Electromagnetic Susceptibility of VCO-based ADC in 28 nm CMOS Technology," in Extended Abstracts of the 2021 International Conference on Solid State Devices and Materials (SSDM 2021), #L-3-4, pp. 698-699, Sep. 2021. (Virtual conference)
023
Makoto Nagata, "Physical Attack Protection Techniques in IC Chips for IoT Security (Invited)," in Extended Abstracts of the 2021 International Conference on Solid State Devices and Materials (SSDM 2021), #L-2-1, pp. 680-681, Sep. 2021. (Virtual conference)
024
Lang Lin, Deqi Zhu, Kazuki Monta, Makoto Nagata, Calvin Chow, Norman Chang, "Enabling Secure SoC Design by Fast Power-noise & EM Side-channel Emission Analysis," in Proc. 26th DesignCon 2021, Aug. 2021. (San Jose)
025
Kazuki Monta, Leonidas Kataselas, Ferenc Fodor, Alkis Hatzopoulos, Makoto Nagata, Erik Jan Marinissen, "Testing Embedded Toggle Pattern Generation Through On-Chip IR Drop Monitoring," in Proceedings of the 26th IEEE European Test Symposium (ETS 2021), #S1-2, pp. 1-4, May 2021. (Virtual conference)DOI: 10.1109/ETS50041.2021.9465391
026
Hiroki Sonoda, Kazuki Monta, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Noriyuki Miura, Takuji Miki, Makoto Nagata, "Secure 3D CMOS Chip Stacks with Backside Buried Metal Power Delivery Networks for Distributed Decoupling Capacitance," in Proceedings of the 66th IEEE International Electron Device Meeting (IEDM 2020), #31.5, pp. 1-4, Dec. 2020. (Virtual conference)DOI: 10.1109/IEDM13553.2020.9372073
027
Lang Lin, Dinesh Selvakumaran, Deqi Zhu, Norman Chang, Calvin Chow, Makoto Nagata, Kazuki Monta, "Fast and Comprehensive Simulation Methodology for Layout-Based Power-Noise Side-Channel Leakage Analysis," in Proceedings of 2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), pp. 144-149, Dec. 2020. (Virtual conference)DOI: 10.1109/iSES50453.2020.00038
028
Takuji Miki, Noriyuki Miura, Hiroki Sonoda, Kento Mizuta, Makoto Nagata, "A Random Interrupt Dithering SAR Technique for Secure ADC against Reference-Charge Side-Channel Attack," in Proceedings of the 2020 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-1, Oct. 2020. (Virtual conference)DOI: 10.1109/ISCAS45731.2020.9180520
029
Sho Tada, Yuki Yamashita, Kohei Matsuda, Makoto Nagata, Kazuo Sakiyama, Noriyuki Miura, "An Inductive Impulse Self-Destructor in Sense-and-React Countermeasure Against Physical Attacks," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), L-9-05, pp. 791-792, Sep. 2020. (Virtual conference)DOI: 10.7567/SSDM.2020.L-9-05
030
Takuya Wadatsumi, Takuji Miki, Makoto Nagata, "A Dual-mode SAR ADC Enabling On-chip Detection of Off-chip Power Noise Measurements by Attackers," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), L-9-04, pp. 789-790, Sep. 2020. (Virtual conference)DOI: 10.7567/SSDM.2020.L-9-04
031
Akira Tsukada, Ken Okamoto, Yuichiro Okugawa, Jun Kato, Makoto Nagata, "System-Level Response of Ethernet Linkage to Bulk Current Injection into Cables," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD07-1, pp. 1-4, Sep. 2020. (Virtual conference)DOI: 10.1109/EMCEUROPE48519.2020.9245851
032
Masahiro Yamaguchi, Yasunori Miyazawa, Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Ferromagnetic Noise Suppressor to be Implemented in an IC Chip Package," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD08-2, pp. 1, Sep. 2020. (Virtual conference)
033
Makoto Nagata, Koh Watanabe, Noriyuki Miura, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Impacts of Near-Field Undesired Radio Waves from Semiconductor Switching Circuits on Wireless Communications," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD08-1, pp. 1, Sep. 2020. (Virtual conference)
034
Ken Okamoto, Yuichiro Okugawa, Jun Kato, Akira Tsukada, Makoto Nagata, "Electromagnetic Susceptibility of a Switched-Mode Power Supply in an Ethernet Switch," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W3-TU-PM-A2, Aug. 2020. (Virtual conference)
035
Hiroki Sonoda, Ryo Kasai, Daisuke Tanaka, Yoshihide Murakami, Kyoshi Mihara, Kazuo Makida, Katsuya Kikuchi, Makoto Nagata, "Power Noise Suppression by Land-Side Capacitors within Fan-Out Multiple IC Chip Packaging," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W3-TU-PM-A1, Aug. 2020. (Virtual conference)
036
Misaki Komatsu, Koh Watanabe, Mai Aoi, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Evaluation of Undesired Radio Waves by Switching Power Circuits using GaN Transistors," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W2-WE-PM-C, Aug. 2020. (Virtual conference)
037
Mai Aoi, Koh Watanabe, Misaki Komatsu, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Yasunori Miyazawa, Masahiro Yamaguchi, "Magnetic Materials for Radio Frequency Noise Suppression in Flipped IC Chip Packaging," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W1-WE-PM-B, Aug. 2020. (Virtual conference)
038
Makoto Nagata, Kazuki Monta, Akihiro Tsukioka, Lang Lin, Dinesh Selvakumaran, Norman Chang, Calvin Chow, "Fast and Comprehensive Layout-Based, Side-Channel Leakage Simulation with Simulation-to-Disclosure Metering," ACM/IEEE Design Automation Conference (DAC 2020), Designer Track #71.1, Jul. 2020. (Virtual conference)
039
Makoto Nagata, Akihiro Tsukioka, Norman Chang, Karthik Srinivasan, "A C-P-S Simulation Technique of Power-Noise Side Channel Leakage in Cryptographic Integrated Circuits," in Proc. 25th DesignCon 2020, Jan. 2020. (Santa Clara)
040
Makoto Nagata, "Deployment of EMC-Compliant IC Chip Techniques in Design for Hardware Security: Invited Paper," in Proceedings of the 9th International Conference on Security, Privacy, and Applied Cryptography Engineering (SPACE 2019), Lecture Notes in Computer Science 11947, pp. 1-5, Dec. 2019. (Gandhinagar)DOI: 10.1007/978-3-030-35869-3_1
041
Noriyuki Miura, Tatsuya Machida, Kohei Matsuda, Makoto Nagata, Shoei Nashimoto, Daisuke Suzuki " A Low-Cost Replica-Based Distance-Spoofing Attack on mmWave FMCW Radar," In Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop (ASHES'19). pp. 95–100, Nov. 2019.DOI: 10.1145/3338508.3359567
042
Takuji Miki, Makoto Nagata, Hiroki Sonoda, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, "A Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices," in Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC 2019), #3-1, pp. 25-28, Nov. 2019. (Macao)DOI: 10.1109/A-SSCC47793.2019.9056950
043
Makoto Nagata, "On-Chip Protection of Cryptographic ICs Against Physical Side Channel Attacks (Invited)," in Proceedings of the 13th IEEE International Conference on ASIC (ASICON 2019), #C1-1, pp. 1-4, Oct. 2019. (Chongqing)DOI: 10.1109/ASICON47005.2019.8983486
044
Masahiro Yamaguchi, Akihiro Takahashi, Yasunori Miyazawa, Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Sintered Ferrite Thin Plate Noise Suppressor Mounted on IC Chip Interposer (Invited)," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), pp. 231-233, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919832
045
Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Akihiro Takahashi, Yasunori Miyazawa, Masahiro Yamaguchi, "Magnetic Composite Sheets in IC Chip Packaging for Suppression of Undesired Noise Emission to Wireless Communication Channels," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), pp. 219-221, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919937
046
Hiroki Sonoda, Makoto Nagata, Daisuke Tanaka, Yoshihide Murakami, Kyoshi Mihara, Kazuo Makida, Katsuya Kikuchi, "In-Place Power Noise and Signal Waveform Measurements on LVDS Channels in Fan-Out Multiple IC Chip Packaging," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), #1570581065, pp. 1-3, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919926
047
Tomoaki Mahiko, Makoto Nagata, "Development of novel Cu electroplating for electronic interconnects in advanced packaging," in Proceedings of the 29th Asian Session of Advanced Metallization Conference 2019 (ADMETAplus 2019), P-5 (poster presentation), pp. 47-48, Oct. 2019. (Tokyo)
048
Takuji Miki, Makoto Nagata, Akihiro Tsukioka, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, "Over-the-top Si Interposer Embedding Backside Buried Metal PDN to Reduce Power Supply Impedance of Large Scale Digital ICs," IEEE 2019 International 3D Systems Integration Conference (3DIC 2019), #B5L-B, pp.1-4, Oct. 2019. (Sendai)DOI: 10.1109/3DIC48104.2019.9058860
049
Naoya Watanabe, Yuuki Araga, Haruo Shimamoto, Katsuya Kikuchi, Makoto Nagata, "Development of Backside Buried Metal Layer Technology for 3D-ICs," in Proceedings of The 52th International Symposium on Microelectronics (IMAPS 2019), #WAM4-3, pp. 1-6, Oct. 2019. (Boston)DOI: 10.4071/2380-4505-2019.1.000268
050
Kohei Matsuda, Sho Tada, Makoto Nagata, Yang Li, Takeshi Sugawara, Mitsugu Iwamoto, Kazuo Ohta, Kazuo Sakiyama, Noriyuki Miura, "An Information Leakage Sensor Based on Measurement of Laser-Induced Opto-Electric Bulk Current Density," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), M-1-03, pp. 501-502, Sep. 2019. (Nagoya)
051
Makoto Nagata, Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Evaluation of Near-Field Undesired Radio Waves from Semiconductor Switching Circuits," in Proceedings of the 2019 International Symposium on Electromagnetic Compatibility (EMC Europe 2019), #O_Th_B_2_1, pp. 866-869, Sep. 2019. (Barcelona)DOI: 10.1109/EMCEurope.2019.8871554
052
Akihiro Tsukioka, Makoto Nagata, Karthik Srinivasan, Shan Wan, Lang Lin, Ying-Shiun Li, Norman Chang, "A Fast Side-channel Leakage Simulation Technique Based on IC Chip Power Noise Modeling," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, TH-PM-3-4, Jul. 2019. (New Orleans)
053
Hiroshi Suenaga, Akihiro Tsukioka, Kosuke Jike, Makoto Nagata, "Compact Simulation of Chip-to-Chip Active Noise Coupling on A System PCB Board," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, Wednesday poster, Jul. 2019. (New Orleans)
054
Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Makoto Nagata, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Impacts of Undesired Radio Waves on Mobile Communications Nearby Inverter Power Devices," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, Wednesday poster, Jul. 2019. (New Orleans)
055
Akihiro Tsukioka, Makoto Nagata, Karthik Srinivasan, Shan Wan, Lang Lin, Ying-Shiun Li, Norman Chang, "A Full System Simulation Technique of Power-noise Side Channel Leakage in Cryptographic Integrated Circuits," ACM/IEEE Design Automation Conference (DAC 2019), Designer Track Reviewed #18.6, Jun. 2019. (Las Vegas)
056
Kosuke Koiwa, Rei Ueno, Daisuke Fujimoto, Yuichi Hayashi, Makoto Nagata, Makoto Ikeda, Tsutomu Matsumoto, Naofumi Homma, "Collision-Based EM Analysis on ECDSA Hardware and a Countermeasure," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #FriAM1C.3, pp. 793-796, Jun. 2019. (Sapporo)
057
Masahiro Yamaguchi, Mitsuharu Sato, Akihiko Takahashi, Yasunori Miyazawa, Satoshi Tanaka, Kosuke Jike, Koh Watanabe, Noriyuki Miura, Makoto Nagata, "Magnetic Powder Composite Noise Suppressor for Flip Chip Mounted High Speed IC Chip," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.6, pp. 38-41, Jun. 2019. (Sapporo)
058
Masaki Kurimoto, Koichi Kondo, Yusuke Ohdaira, Yasunori Miyazawa, Satoshi Tanaka, Makoto Nagata, Yasuyuki Okiyoneda, Masahiro Yamaguchi, Shigeyoshi Yoshida, "Suppression of Unnecessary Radio Wave Radiated from Power Electronics Equipment Using Noise Suppression Sheet," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.5, pp. 37, Jun. 2019. (Sapporo)
059
Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Makoto Nagata, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Interference of Undesired Radio Waves Near Inverter Power Devices on Mobile Communications," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.4, pp. 36, Jun. 2019. (Sapporo)
060
Akihiro Tsukioka, Makoto Nagata, Noriyuki Miura, Kenji Niinomi, Rieko Akimoto, Takao Egami, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Immunity Simulation of ESD Protection Devices in High Voltage BiCD Technology," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.4, pp. 25, Jun. 2019. (Sapporo)
061
Ko Oyama, Yasuyuki Ishikawa, Shuji Agatsuma, Makoto Nagata, "Analysis of Disturbance Propagation in Silicon Substrate on SOI-BCD Process," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.3, pp. 24, Jun. 2019. (Sapporo)
062
Hiroshi Suenaga, Akihiro Tsukioka, Makoto Nagata, "Chip to Chip Noise Interference Simulation Via Package and Board," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.2, pp. 23, Jun. 2019. (Sapporo)
063
Makoto Nagata, Takuji Miki, Noriyuki Miura, "On-Chip Physical Attack Protection Circuits for Hardware Security (Invited)," Proceedings of the IEEE Custom Integrated Circuits Conference (CICC 2019), #15-5, pp. 1-6, Apr. 2019.DOI: 10.1109/CICC.2019.8780386
064
Jean-Luc Danger, Risa Yashiro, Tarik Graba, Yves Mathieu, Abdelmalek Si-Merabet, Kazuo Sakiyama, Noriyuki Miura, Makoto Nagata, Sylvain Guilley, "Analysis of Mixed PUF-TRNG Circuit Based on SR-Latches in FD-SOI Technology," Proceedings of the 21th Euromicro Conference on Digital System Design (DSD 2018), #AHSA-3, pp. 508-515, Aug. 2018. (Prague)DOI: 10.1109/DSD.2018.00090
065
Masahiro Yamaguchi, Yasunori Miyazawa, Jinyang Ma, Mitsuharu Sato, Akihiro Takahashi, Satoshi Tanaka, Makoto Nagata, Ranajit Sai, "Measurement and Magnetic Countermeasure Methodology to Deal with Inverter Noise," Proceedings of the 2018 International Symposium on Electromagnetic Compatibility (EMC Europe 2018), #NM-4, pp. 608-612, Aug. 2018. (Amsterdam)DOI: 10.1109/EMCEurope.2018.8485118
066
Akihiro Tsukioka, Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura, Rieko Akimoto, Takao Egami, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Interaction of RF DPI with ESD protection Devices in EMS Testing of IC Chips," International Symposium on Electromagnetic Compatibility (EMC Europe 2018), #ICb-2,pp. 445-450, Aug. 2018. (Amsterdam)DOI: 10.1109/EMCEurope.2018.8484996
067
Koichi Kondo, Masaki Kurimoto, Yusuke Ohdaira, Yasunori Miyazawa, Satoshi Tanaka, Makoto Nagata, Yasuyuki Okiyoneda, Masahiro Yamaguchi, "Suppression of Unnecessary Radio Wave Radiated from Inverter Equipment using Noise Suppression Sheet," 2018 IEEE Symposium on Electromagetic Compatibility, Signal and Power Integrity (EMC+SIPI 2018), #TH-PM-1-4, pp. 1, Aug. 2018. (Long Beach)DOI: 10.1109/EMCSI.2018.8495344
068
Kosuke Koiwa, Daisuke Fujimoto, Yuichi Hayashi, Makoto Nagata, Makoto Ikeda, Tsutomu Matsumoto, Naofumi Homma, "EM Security Analysis of Compact ECDSA Hardware," Proceedings of 2018 IEEE International Symposium on Electromagnetic Compatibility and IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC/APEMC), pp. 12, May 2018. (Singapore)DOI: 10.1109/ISEMC.2018.8394012
069
Shota Nin, Daisuke Fujimoto,Yuichi Hayashi, Noriyuki Miura, Makoto Nagata, Tsutomu Matsumoto, "HT-Detection Method Based on Impedance Measurements of ICs," Proceedings of 2018 IEEE International Symposium on Electromagnetic Compatibility and IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC/APEMC), pp. 11, May 2018. (Singapore)DOI: 10.1109/ISEMC.2018.8394011
070
Akihiro Tsukioka, Makoto Nagata, Takao Egami, Rieko Akimoto, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Extended CPS Simulation for EMC Compliance of Automotive IC Chip Developments," ACM/IEEE Design Automation Conference (DAC 2018), Designer Track Reviewed #1.5, Jun. 2018. (San Francisco)
071
Masanori Takahashi, Makoto Nagata, Noriyuki Miura, "Supply-Chain Security Enhancement by Chaotic Wireless Chip-Package-Board Interactive PUF," 2018 IEEE 68th Electronic Components and Technology Conference (ECTC 2018), Session 11-5, pp. 521-526, May. 2018. (San Diego)DOI: 10.1109/ECTC.2018.00082
072
Kohei Matsuda, Tatsuya Fujii, Natsu Shoji, Takeshi Sugawara, Kazuo Sakiyama, Yu-ichi Hayashi, Makoto Nagata, Noriyuki Miura, " A 286F²/Cell Distributed Bulk-Current Sensor and Secure Flush Code Eraser Against Laser Fault Injection Attack," Dig. Tech. Papers, 2018 IEEE International Solid-State Circuits Conference (ISSCC), #21.5, pp. 352-353, Feb. 2018. (San Francisco)DOI: 10.1109/ISSCC.2018.8310329
073
Noriyuki Miura, Masanori Takahashi, Kazuki Nagatomo, Makoto Nagata, "Chaos, Deterministic Non-Periodic Flow, for Chip-Package-Board Interactive PUF," Proc. 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC 2017), S3-2, pp. 25-28, Nov. 2017. (Seoul)DOI: 10.1109/ASSCC.2017.8240207
074
Takeshi Sugawara, Natsu Shoji, Kazuo Sakiyama, Kohei Matsuda, Noriyuki Miura, Makoto Nagata, "Exploiting Bitflip Detector for Non-Invasive Probing and its Application to Ineffective Fault Analysis," Proceedings of the IEEE 2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2017), #3.2, pp. 49-56, Sep. 2017. (Taipei).DOI: 10.1109/FDTC.2017.17
075
Akihiro Tsukioka, Makoto Nagata, Kohki Taniguchi, Daisuke Fujimoto, Rieko Akimoto, Takao Egami, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Rob Mathews, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Simulation Techniques for EMC Compliant Design of Automotive IC Chips and Modules," Proceedings of the 2017 International Symposium on Electromagnetic Compatibility (EMC Europe 2017), #O_Th_A2_2, pp. 1-5, Sep. 2017. (Angers)DOI: 10.1109/EMCEurope.2017.8094691
076
Ko Oyama, Yosuke Kondo, Daisaku Ikoma, Yasuyuki Ishikawa, Akitaka Murata, Shuji Agatsuma, Makoto Nagata, "Effect of Field Area on Disturbance Propagation through Silicon Substrates in SOI-BCD Process," Proceedings of the 2017 International Symposium on Electromagnetic Compatibility (EMC Europe 2017), #O_We_C2_3, pp. 1-5, Sep. 2017. (Angers)DOI: 10.1109/EMCEurope.2017.8094624
077
Daisuke Ishihata, Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Takafumi Aoki, "Enhancing Reactive Countermeasure against EM Attacks with Low Overhead," Proceedings of the 2017 IEEE International Symposium on Electromagnetic Compatibility, Signal and Power Integrity, #WED-AM-4-2, pp. 399-404, Aug. 2017. (Washington, DC)DOI: 10.1109/ISEMC.2017.8077903
078
Yasunori Miyazawa, Satoshi Tanaka, Masahiro Nishizawa, Jingyan Ma, Masahiro Yamaguchi, Koichi Kondo, Makoto Nagata, Yasuyuki Okiyoneda, "Analysis of Unnecessary Radio Wave Near the Inverter Equipment at the Carrier Frequency-Range of Mobile Terminal," Proceedings of the 2017 IEEE International Symposium on Electromagnetic Compatibility, Signal and Power Integrity, Poster, pp.283-287, Aug. 2017. (Washington, DC)DOI: 10.1109/ISEMC.2017.8077881
079
Kohki Taniguchi, Makoto Nagata, Akihiro Tsukioka, Daisuke Fujimoto, Noriyuki Miura, Takao Egami, Rieko Akimoto, Kenji Niinomi, Terumitsu Komatsu, Yoshinori Fukuba, Atsushi Tomishima, "Susceptibility Evaluation of CAN Transceiver Circuits with In-Place Waveform Capturing under RF DPI," in Proceedings of the 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2017), pp. 59-63, Jul. 2017. (St. Petersburg)DOI: 10.1109/EMCCompo.2017.7998082
080
Masahiro Yamaguchi, Yasushi Endo, Peng Fan ,Jingyan Ma ,Satoshi Tanaka, Yasunori Miyazawa,Makoto Nagata, "Analysis of Patterned Magnetic Thin-film Noise Suppressor for RF IC Chip," in Proceedings of the 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2017), pp. 45-49, Jul. 2017. (St. Petersburg)DOI: 10.1109/EMCCompo.2017.7998079
081
Noriyuki Miura, Kohei Matsuda, Makoto Nagata, Shivam Bhasin, Ville Yli-Mayry, Naofumi Homma, Yves Mathieu, Tarik Graba, Jean-Luc Danger, "A 2.5ns-Latency 0.39pJ/b 289µm²/Gb/s Ultra-Light-Weight PRINCE Cryptographic Processor," 2017 Symposium on VLSI Circuits Digest of Technical Papers, #20.2, pp. 266-267, Jun. 2017. (Kyoto)DOI: 10.23919/VLSIC.2017.8008502
082
Masahiro Yamaguchi, Satoshi Tanaka, Jingyan Ma, Yasunori Miyazawa, Mitsuharu Sato, Masahiro Nishizawa, Makoto Nagata, Kazushi Ishiyama, Koichi Kondo, Yasuyuki Okiyoneda, "Magnetic Integrated Passives for Information and Communication Technology (Invited)," 2017 IEEE International Magnetics Conference (INTERMAG), BA-03, Apr. 2017. (Dublin)DOI: 10.1109/INTMAG.2017.8007611
083
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Cu-Sn Based Joint Material Having IMC Forming Control Capabilities," in Proceedings of International Conference on Electronics Packaging (ICEP 2017), #TC4-2, pp. 171-176, Apr. 2017. (Yamagata)DOI: 10.23919/ICEP.2017.7939350
084
Noriyuki Miura, Shijia Liu, Tsuyoshi Watanabe, Shigeki Imai, Makoto Nagata, "A Permanent Digital Archive System Based on 4F2 X-Point Multi-Layer Metal Nano-Dot Structure," Dig. Tech. Papers, 2017 IEEE International Solid-State Circuits Conference (ISSCC), #15.8, pp. 270-271, Feb. 2017. (San Francisco)DOI: 10.1109/ISSCC.2017.7870365
085
Akihiro Tsukioka, Naoya Yamamoto, Rie Korenaga, Makoto Nagata, Karthik Srinivasan, Norman Chang, Ying-Shiun Li, Masaya Takahashi, "Active Power Noise Modeling toward Design for EMI Compliance of IC Chips," Proc. 2017 DesignCon, Jan. 2017. (Santa Clara)
086
Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata, "An FPGA-Compatible PLL-Based Sensor against Fault Injection Attack," Proc. ACM 2017 Asia and South Pacific Design Automation Conference (ASP-DAC 2017), #1S-18, pp. 1-2, Jan. 2017. (Makuhari, Chiba)DOI: 10.1109/ASPDAC.2017.7858291
087
Kohei Matsuda, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Tatsuya Fujii, Kazuo Sakiyama, "On-chip substrate-bounce monitoring for laser-fault countermeasure," 2016 IEEE Asian Hardware-Oriented Security and Trust (AsianHOST), pp. 1-6, Dec. 2016. (Yilan)DOI: 10.1109/AsianHOST.2016.7835565
088
Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi, "Superiority of In-Stack Decoupling Capacitor for 3D-LSI with Wide I/O Data Bus," Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials (SSDM 2016), #K-1-3, pp. 469-470, Sep. 2016. (Tsukuba)DOI: 10.7567/SSDM.2016.K-1-03
089
Takuji Miki, Noriyuki Miura, Kento Mizuta, Shiro Dosho, Makoto Nagata, "A 500MHz-BW -52.5dB-THD Voltage-to-Time Converter Utilizing a Two-Step Transition Inverter," Proc. IEEE 2016 European Solid-State Circuits Conference (ESSCIRC 2016), #A2L-J_1, pp. 141-144, Sep. 2016. (Lausanne)DOI: 10.1109/ESSCIRC.2016.7598262
090
Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi, "EMI Performance of Power Delivery Networks in 3D TSV Integration," Proc. IEEE 2016 International Symposium on Electromagnetic Compatibility (EMC Europe 2016), #OS12-2, pp. 428-433, Sep. 2016. (Wroclaw)DOI: 10.1109/EMCEurope.2016.7739267
091
Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata, "Ring Oscillator Under Laser: Potential of PLL Based Countermeasure Against Laser Fault Injection," Proc. IEEE 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2016), #4.2, pp. 102-113, Aug. 2016. (Santa Barbara)DOI: 10.1109/FDTC.2016.13
092
Noriyuki Miura, Zakaria Najm, Wei He, Shivam Bhasin, Xuan Thuy Ngo, Makoto Nagata, Jean-Luc Danger, "PLL to the Rescue: A Novel EM Fault Countermeasure," Proc. 2016 53rd ACM/EDAC/IEEE Design Automation Conference (DAC 2016), #57.5, pp. 1-6, Jun. 2016. (Austin)DOI: 10.1145/2897937.2898065
093
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Die Attach Material for Power Semiconductor Having Nano-Level Sn-Cu Diffusion Control," Proc. 2016 IEEE 66th Electronic Components and Technology Conference (ECTC 2016), #10.3, pp. 426-431, Jun. 2016. (Las Vegas)DOI: 10.1109/ECTC.2016.122
094
Daisuke Ishihata, Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Takafumi Aoki, "Enhancement of Reactive Countermeasure against Side-Channel Attacks with Microprobing," in Proceedings of the 25th International Workshop on Post-Binary ULSI Systems, pp. 28-32, May. 2016.
095
Kazuo Sakiyama, Momoka Kasuya, Takanori Machida, Arisa Matsubara, Yunfeng Kuai, Yu-Ichi Hayashi, Takaaki Mizuki, Noriyuki Miura, Makoto Nagata, "Physical Authentication Using Side-Channel Information," Proc. IEEE International Conference on Information and Communication Technology (ICoICT 2016), May. 2016.DOI: 10.1109/ICoICT.2016.7571953
096
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Fine Pitch Micro-Bump Forming by Printing," Proc. 2016 International Conference on Electronics Packaging (ICEP 2016), pp. 260-264, Apr. 2016. (Sapporo)DOI: 10.1109/ICEP.2016.7486824
097
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "3DIC/TSV Process Developments by Printing Technologies," Proc. IEEE CPMT Symposium Japan (ICSJ 2015), pp. 140-143, Nov. 2015. (Kyoto)DOI: 10.1109/ICSJ.2015.7357382
098
Satoshi Tanaka, Peng Fan, Jingyan Ma, Hanae Aoki, Masahiro Yamaguchi, Makoto Nagata, Sho Muroga, "Analysis of On-Chip Digital Noise Coupling Path for Wireless Communication IC Test Chip," Proc. 10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #8-1(poster), pp. 216-221, Nov. 2015. (Edinburgh)DOI: 10.1109/EMCCompo.2015.7358360
099
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Nano-Function Materials for TSV Technologies," Proc. 2015 International 3D Systems Integration Conference (3DIC 2015), pp. TS5.3.1-TS5.3.6, Sep. 2015. (Sendai)DOI: 10.1109/3DIC.2015.7334569
100
Masahiro Yamaguchi, Peng Fan, Satoshi Tanaka, Makoto Nagata, Sho Muroga, "Analysis of Intra-Chip Degital Noise Coupling Path in Fully LTE Compliant RF Receiver Test Chip," Proc. Joint IEEE International Symposium on Electromagnetic Compatibility and EMC Europe (EMC 2015), #Track N-4, pp.1007-1011, Aug. 2015. (Dresden)DOI: 10.1109/ISEMC.2015.7256304
101
Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, "Proactive and Reactive Protection Circuit Techniques Against EM Leakage and Injection," Proc. Joint IEEE International Symposium on Electromagnetic Compatibility and EMC Europe (EMC 2015), #SS-1-7, pp. 252-257, Aug. 2015. (Dresden)DOI: 10.1109/ISEMC.2015.7256168
102
Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Naofumi Homma, Yuichi Hayashi, Takafumi Aoki, "EM Attack Sensor: Concept, Circuit, and Design-Automation Methodology (Invited)," Proc. ACM Design Automation Conference 2015 (DAC 2015), #69.2, pp. 1-6, Jun. 2015. (San Francisco)DOI: 10.1145/2744769.2747923
103
Masahiro Yamaguchi, Satoshi Tanaka, Yasushi Endo, Sho Muroga, Makoto Nagata, "On-chip Integrated Magnetic Thin-Film Solution to Countermeasure Digital Noise on RF IC," Proc. 2015 IEEE Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC 2015), #SS10-5, pp. 536-539, May. 2015. (Taipei)DOI: 10.1109/APEMC.2015.7175409
104
Kohki Taniguchi, Noriyuki Miura, Taisuke Hayashi, Makoto Nagata, "At-Product-Test Dedicated Adaptive Supply-Resonance Suppression," Proc. 2015 IEEE 33rd VLSI Test Symposium (VTS 2015), #06A-1, pp. 127-130, May. 2015. (Napa)DOI: 10.1109/VTS.2015.7116273
105
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Makoto Nagata, "Nano-Function Paste for Power Semiconductors ," Proc. 2015 International Conference on Electrnoics Packaging and iMAPS All Asia Conference (ICEP-IAAC 2015), #TE3-1, pp. 482-485, Apr. 2015. (Kyoto)DOI: 10.1109/ICEP-IAAC.2015.7111063
106
Daisuke Fujimoto, Makoto Nagata, Shivam Bhasin, Jean-Luc Danger, "A Novel Methodology for Testing Hardware Security and Trust Exploiting On-Chip Power Noise Measurement," Proc. 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), #8C-3, pp. 749-754, Jan. 2015. (Makuhari)DOI: 10.1109/ASPDAC.2015.7059100
107
Daisuke Fujimoto, Noriyuki Miura, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "A DPA/DEMA/LEMA-Resistant AES Cryptographic Processor with Supply-Current Equalizer and Micro EM Probe Sensor ," Proc. 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), #1S-13, pp. 26-27, Jan. 2015. (Makuhari)DOI: 10.1109/ASPDAC.2015.7058929
108
Masahiro Yamaguchi, Satoshi Tanaka, Yasushi Endo, Makoto Nagata, Hiroaki Matsui, Mizuki Iwanami, Kenta Tsukamoto, "IC Chip Level Low Noise Technology for High Speed and High Quality Telecommunication Systems (Invited)," Proceedings of Asia-Pacific Microwave Conference 2014 (APMC 2014), TH2E-1, pp. 540-542, Nov. 2014. (Sendai)
109
Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura, "On-Chip Monitoring for In-Place Diagnosis of Undesired Power Domain Problems in IC Chips," The 23rd Asian Test Symposium (ATS 2014), #6C-3, pp. 258-262, Nov. 2014. (Hangzhou)DOI: 10.1109/ATS.2014.55
110
Noriyuki Miura, Daisuke Fujimoto, Rie Korenaga, Kohei Matsuda, Makoto Nagata, "An Intermittent-Driven Supply-Current Equalizer for 11x and 4x Power-Overhead Savings in CPA-Resistant 128bit AES Cryptographic Processor," Proc. 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC 2014), #14-5, pp. 225-228, Nov. 2014. (Kaohsiung)DOI: 10.1109/ASSCC.2014.7008901
111
Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Makoto Nagata, Takafumi Aoki, "EM Attack Is Non-Invasive? -- Design Methodology and Validity Verification of EM Attack Sensor," IACR Workshop on Cryptographic Hardware and Embedded Systems 2014 (CHES 2014), #1-1, LNCS 8731, pp. 1-16, Sep. 2014. (Busan)DOI: 10.1007/978-3-662-44709-3_1
112
Yuuki Araga, Ranto Miura, Makoto Nagata, Cesar Roda Neve, Joeri De Vos, Geert Van der Plas, Eric Beyne, "A Study on Power Integrity in a 3D Chip Stack Using Dynamic Power Supply Current Emulation and Power Noise Monitoring," IEEE Electronics System-Integration Technology Conference (ESTC 2014), #S14P2, pp. 1-5, Sep. 2014. (Helsinki)DOI: 10.1109/ESTC.2014.6962728
113
Makoto Nagata, Satoshi Takaya, Hiroaki Ikeda, Dimitri Linten, Mirko Scholz, Shih-Hung Chen, Keiichi Hasegawa, Taizo Shintani, Masanori Sawada, "CDM Protection of a 3D TSV Memory IC with a 100 GB/s Wide I/O Data Bus," Proc. ESDA 36th Annual EOS/ESD Symposium, #2A-2, pp. 1-7, Sep. 2014. (Tuscon)
114
Noriyuki Miura, Daisuke Fujimoto, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "Integrated-Circuit Countermeasures Against Information Leakage Through EM Radiation," Proc. 2014 IEEE International Symposium on Electromagnetic Compatibility, #TH-AM-3-3, pp. 748-751, Aug. 2014. (Raleigh)DOI: 10.1109/ISEMC.2014.6899068
115
Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "A Local EM-Analysis Attack Resistant Cryptographic Engine with Fully-Digital Oscillator-Based Tamper-Access Sensor," IEEE 2014 Symposium on VLSI Circuits Digest of Technical Papers, #16.4, pp. 172-173, Jun. 2014. (Honolulu)DOI: 10.1109/VLSIC.2014.6858423
116
Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger, "Correlation Power Analysis using Bit-Level Biased Activity Plaintexts against AES Cores with Countermeasures," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P2-A3, pp. 306-309, May. 2014. (Tokyo)
117
Yuuki Araga, Ranto Miura, Nao Ueda, Noriyuki Miura, Makoto Nagata, "In-Stack Monitoring of Signal and Power Nodes in Three Dimensional Integrated Circuits," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P2-B1, pp. 362-365, May. 2014. (Tokyo)
118
Masahiro Yamaguchi, Yasushi Endo, Satoshi Tanaka, Tetsuo Ito, Sho Muroga, Naoya Azuma, Makoto Nagata, "On-Chip Magnetic Thin-Film Noise Suppressor for IC Chip Level Digital Noise Countermeasure," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P1-B4, pp. 354-357, May. 2014. (Tokyo)
119
Daisuke Fujimoto, Daichi Tanaka, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Naofumi Homma, Shivam Bhasin, Jean-Luc Danger, "Side-Channel Leakage on Silicon Substrate of CMOS Cryptographic Chip," Proc. 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2014), #3-3, pp. 32-37, May. 2014. (Arlington)DOI: 10.1109/HST.2014.6855564
120
Taisuke Hayashi, Noriyuki Miura, Kumpei Yoshikawa, Makoto Nagata, "A Passive Supply-Resonance Suppression Filter Utilizing Inductance- Enhanced Coupled Bonding-W Coils," Proc. IEEE 2014 International Symposium on VLSI Design, Automation and Test (VLSI-DAT 2014), #DR52, pp. 121-124, Apr. 2014. (Hsinchu)DOI: 10.1109/VLSI-DAT.2014.6834894
121
Shivam Bhasin, Jean-Luc Danger, Tarik Graba, Yves Mathieu, Daisuke Fujimoto, Makoto Nagata, "Physical Security Evaluation at an Early Design-Phase: A Side-Channel Aware Simulation Methodology," ACM Workshop Proc. Engineering Simulations for Cyber Physical Systems (ES4CPS), #3, pp. 13-20, Mar. 2014. (Dresden)DOI: 10.1145/2589650.2559628
122
Noriyuki Miura, Shiro Dosho, Satoshi Takaya, Daisuke Fujimoto, Takuya Kiriyama, Hiroyuki Tezuka, Takuji Miki, Hiroto Yanagawa, Makoto Nagata, "A 1mm-Pitch 80×80-Channel 322Hz-Frame-Rate Touch Sensor with Two-Step Dual-Mode Capacitance Scan," Dig. Tech. Papers, 2014 IEEE International Solid-State Circuits Conference (ISSCC), #12.4, pp. 216-217, Feb. 2014. (San Francisco)DOI: 10.1109/ISSCC.2014.6757406
123
Kumpei Yoshikawa, Yuji Harada, Noriyuki Miura, Noriaki Takeda, Yoshiyuki Saito, Makoto Nagata, "Immunity Evaluation of Inverter Chains against RF Power on Power Delivery Network," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #IM1-4, pp. 232-237, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735206
124
Akitaka Murata, Shuji Agatsuma, Daisaku Ikoma, Kouji Ichikawa, Takahiro Tsuda, Makoto Nagata, Kumpei Yoshikawa, Yuuki Araga, Yuji Harada, "Noise Analysis using On-Chip waveform Monitor in Bandgap Voltage References," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #IM1-3, pp. 226-231, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735205
125
Sho Muroga, Yutaka Shimada, Yasushi Endo, Satoshi Tanaka, Masahiro Yamaguchi, Motoki Murakami, Kazuaki Hori, Naoya Azuma, Makoto Nagata, Satoru Takahashi, "In-Band Spurious Attenuation in LTE-Class RFIC Chip using a Soft Magnetic Thin Film," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-5, pp. 47-52, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735171
126
Naoya Azuma, Shunsuke Shimazaki, Noriyuki Miura, Makoto Nagata, Tomomitsu Kitamura, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Atsushi Nakamura, Kenta Tsukamoto, Mizuki Iwanami, Eiji Hankui, Sho Muroga, Yasushi Endo, Satoshi Tanaka, Masahiro Yamaguchi, "Measurements and Simulation of Substrate Noise Coupling in RF ICs with CMOS Digital Noise Emulator," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-4, pp. 42-46, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735170
127
Makoto Nagata, Shunsuke Shimazaki, Naoya Azuma, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Satoshi Tanaka, Masahiro Yamaguchi, "Measurement-Based Diagnosis of Wireless Communication Performance in the Presence of In-Band Interferers in RF ICs," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-3, pp. 37-41, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735169
128
Makoto Nagata, Sathoshi Takaya, Hiroaki Ikeda, "Very low-voltage swing while high-bandwidth data transmission through 4096 bit TSVs," IEEE International 3D Systems Integration Conference (3DIC 2013), #3-1, pp. 3.1.1-3.1.4, Oct. 2013. (San Francisco)DOI: 10.1109/3DIC.2013.6702359
129
Shunsuke Shimazaki, Shota Taga, Tetsuya Makita, Naoya Azuma, Noriyuki Miura, Makoto Nagata, "Emulation of High Frequency Substrate Noise in CMOS Digital Circuits with Effects of Adjusting Clock Skew," Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials (SSDM 2013), #PS-5-5, pp. 124, Sep. 2013. (Fukuoka)DOI: 10.7567/SSDM.2013.PS-5-5
130
Naoya Azuma, Tetsuya Makita, Shinichiro Ueyama, Makoto Nagata, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Satoshi Tanaka, Masahiro Yamaguchi, "In-System Diagnosis of RF ICs for Tolerance against On-Chip In-Band Interferers," Proc. 2013 IEEE International Test Conference (ITC 2013), #12.3, pp. 12.3.1-12.3.9, Sep. 2013. (Anaheim)DOI: 10.1109/TEST.2013.6651922
131
Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Jean-Luc Danger, "On-Chip Power Noise Measurements of Cryptographic VLSI Circuits and Interpretation for Side-Channel Analysis," Proc. 2013 IEEE International Symposium on Electromagnetic Compatibility in Europe (EMC Europe 2013), pp. 405-410, Sep. 2013. (Brugge)
132
Sho Muroga, Yasushi Endo, Tetsuo Ito, Satoshi Tanaka, Motoki Murakami, Kazuaki Hori, Satoru Takahashi, Naoya Azuma, Tetsuya Makita, Satoshi Imai, Makoto Nagata, Masahiro Yamaguchi, "In-Band Spurious Attenuation in LTE-Class RFIC Chip using a Soft Magnetic Thin Film," Proc. 2013 IEEE International Symposium on Electromagnetic Compatibility (EMC 2013), TH-AM-3-1, pp. 657-661, Aug. 2013. (Denver)DOI: 10.1109/ISEMC.2013.6670493
133
Yuji Harada, Kumpei Yoshikawa, Noriyuki Miura, Makoto Nagata, Akitaka Murata, Syuji Agatsuma, Kouji Ichikawa, "Power-Noise Measurements of Small-Scale Inverter Chains," Proc. IEEE 2013 International Meeting for Future of Electron Devices, Kansai (IMFEDK 2013), #PS-03, pp. 102-103, May. 2013. (Osaka)DOI: 10.1109/IMFEDK.2013.6602259
134
Makoto Nagata, Daisuke Fujimoto, Daichi Tanaka, "Power Current Modeling of Cryptographic VLSI Circuits for Analysis of Side Channel Attacks," Proc. 2013 IEEE Asia-Pacific International Symposium and Exhibition on Electromagnetic Compatibility (APEMC 2013), #103, pp. 1-4, May. 2013. (Melbourne)DOI: 10.1109/APEMC.2013.7360641
135
Takuya Sawada, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata, "Measurements of SRAM Sensitivity against AC Power Noise with Effects of Device Variation," Proc. 2013 IEEE International Conference on Microelectronic Test Structures (ICMTS 2013), #4.2, pp. 77-80, Mar. 2013, (Osaka)DOI: 10.1109/ICMTS.2013.6528149
136
Satoshi Takaya, Makoto Nagata, Atsushi Sakai, Takashi Kariya, Shiro Uchiyama, Harufumi Kobayashi, Hiroaki Ikeda, "A 100GB/s Wide I/O with 4096b TSVs Through an Active Silicon Interposer with In-Place Waveform Capturing," Dig. Tech. Papers, 2013 IEEE International Solid-State Circuits Conference (ISSCC), #24.8, pp. 434-435, Feb. 2013, (San Francisco)DOI: 10.1109/ISSCC.2013.6487803
137
Kumpei Yoshikawa, Makoto Nagata, "Co-simulation of AC Power Noise of CMOS Microprocessor using Capacitor Charging Modeling," Proc. IEEE CPMT Symposium Japan 2012 #19-2, pp. 293-296, Dec. 2012. (Kyoto)DOI: 10.1109/ICSJ.2012.6523442
138
Takeshi Okumoto, Kumpei Yoshikawa, Makoto Nagata, "Monitoring Effective Supply Voltage within Power Rails of Integrated Circuits," Proc. 2012 IEEE Asian Solid-State Circuits Conference (A-SSCC 2012), #4-4, pp. 113-116, Nov. 2012. (Kobe)DOI: 10.1109/IPEC.2012.6522640
139
Takuya Sawada, Hidehiro Takata, Koji Nii, Makoto Nagata, "Sensitivity of SRAM Operation against AC Power Supply Voltage Variation," Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials (SSDM 2012), #J-3-1, pp. 1128-1129, Sep. 2012. (Kyoto)DOI: 10.7567/SSDM.2012.J-3-1
140
Sho Endo, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Toshihiro Katashita, Yohei Hori, Kazuo Sakiyama, Makoto Nagata, Jean-Luc Danger, Thanh-Ha Le and Pirouz Bazargan Sabet, "Measurement of Side-Channel Information from Cryptographic Devices on Security Evaluation Platform: Demonstration of SPACES Project," SICE Annual Conference 2012, #TuA11-05, pp.313-316, Aug. 2012. (Akita)
141
Yuta Sasaki, Kumpei Yoshikawa, Kouji Ichikawa, Makoto Nagata, "Co-Evaluation of Power Supply Noise of CMOS Microprocessor using On-Boar Magnetic Probing and On-Chip Waveform Capturing Techniques," IEEE 2012 International Meeting for Future of Electron Devices, Kansai (IMFEDK 2012), #S-1, pp. 70-71, May. 2012. (Osaka)DOI: 10.1109/IMFEDK.2012.6218586
142
Yuuki Araga, Makoto Nagata, Geert Van der Plas, Jaemin Kim, Nikolaos Minas, Pol Marchal, Youssef Travaly, Michael Libois, Antonio La Manna, Wenqi Zhang, Eric Beyne, "In-Tier Diagnosis of Power Domains in 3D TSV ICs," IEEE International 3D System Integration Conference (3DIC 2011), #7-2, pp. 7.2.1-7.2.4, Jan. 2012. (Osaka)DOI: 10.1109/3DIC.2012.6262964
143
Naoya Azuma, Yasutaka Kanda, Makoto Nagata, "Extraction of Lumped RC Elements Representing Substrate Coupling of RF Devices," IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2011), #FR2B-3, pp. 217-220, Dec. 2011. (Beijing)DOI: 10.1109/RFIT.2011.6141784
144
Makoto Nagata, Xihua Lin, Naoya Azuma, Masahiro Yamaguchi, "Evaluation of Substrate Noise Coupling in RFICs (Invited)," IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2011), #TH3B-1, pp. 141-144, Dec. 2011. (Beijing)DOI: 10.1109/RFIT.2011.6141785
145
Kumpei Yoshikawa, Yuuta Sasaki, Kouji Ichikawa, Yoshiyuki Saito, Makoto Nagata, "Measurements and Co-Simulation of On-Chip and On-Board AC Power Noise in Digital Integrated Circuits," IEEE 8th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2011), #S3P2, pp. 76-81, Nov. 2011. (Dubrovnik)
146
Takuya Sawada, Taku Toshikawa, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata, "Immunity Evaluation of SRAM Core Using DPI with On-Chip Diagnosis Structures," IEEE 8th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2011), #S2P6, pp. 65-70, Nov. 2011. (Dubrovnik).
147
Masahiro Yamaguchi, Sho Muroga, Yasushi Endo, Wataru Kodate, Kumpei Yoshikawa, Yuta Sasaki, Makoto Nagata, "Performance of Integrated Magnetic Thin Film Noise Suppressor Applied to CMOS Noise Test Chips," The 41st European Microwave Conference (EuMA), #03-3, pp. 49-52, Oct. 2011. (Manchester)DOI: 10.23919/EuMC.2011.6101991
148
Daisuke Fujimoto, Makoto Nagata, Toshihiro Katashita, Akihiko Sasaki, Yohei Hori, Akashi Satoh, "A Fast Power Current Analysis Methodology Using Capacitor Charging Model for Side Channel Attack Evaluation," 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2011), #P35, pp. 87-92, Jun. 2011. (San Diego)DOI: 10.1109/HST.2011.5955002
149
Kumpei Yoshikawa, Takushi Hashida, Makoto Nagata, "An On-Chip Waveform Capturer for Diagnosing Off-Chip Power Delivery (Invited)," 2011 IEEE International Conference on Integrated Circuit Design and Technology (ICICDT 2011), #C3, May. 2011. (Kaoshiung).DOI: 10.1109/ICICDT.2011.5783194
150
Takushi Hashida, Yuuki Araga, Makoto Nagata, "A Diagnosis Testbench of Analog IP Cores Against On-Chip Environmental Disturbances," 2011 29th IEEE VLSI Test Symposium, #2B3, pp. 70-75, May. 2011. (Dana Point)DOI: 10.1109/VTS.2011.5783757
151
Sho Muroga, Yasushi Endo, Wataru Kodate, Yoshiaki Sasaki, Kumpei Yoshikawa, Yuta Sasaki, Makoto Nagata, Masahiro Yamaguchi, "Evaluation of Thin Film Noise Suppressor Applied to Noise Emulator Chip Implemented in 65 nm CMOS Technology," IEEE International Magnetics Conference (Intermag 2011), #HH-03, pp. 1-4, Apr. 2011, (Taipei)
2023年度
001
Junichi Sakamoto, Hirofumi Sakane, Yohei Hori, Shinichi Kawamura, Yuichi Hayashi, Makoto Nagata, "Non-Destructive Hardware Trojan Circuit Screening by Backside Near Infrared Imaging," in Proceedings of the 2023 IEEE Physical Assurance and Inspection of Electronics (PAINE), VIII-B-4, pp. 1-7, Oct. 2023. (Huntsville)
002
Makoto Nagata, Naofumi Homma, Yuichi Hayashi, "Hardware Supply Chain Security and EM Tricks," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #281, pp. 1-4, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274179
003
Ryota Sakai, Koh Watanabe, Sosuke Ashida, Hiraku Uehara, Satoshi Tanaka, Makoto Nagata, "Impact of Emission Noise and Electromagnetic Shielding on Mobile Communication Systems in Unmanned Aerial Vehicles," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #279, pp. 1-4, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274281
004
Yuichi Hayashi, Frank Leferink, Makoto Nagata, "Introduction to Physical Layer Security and Hardware Supply Chain Security: EM Tricks to Keep Your Information and Devices Safe," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #175, pp. 1-6, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274206
005
Hiroshi Suenaga, Makoto Nagata, "Prediction of Automotive Radiated Emission Using Machine Learning," in Proceedings of the 2023 International Symposium on Electromagnetic Compatibility - EMC Europe, #120, pp. 1-5, Sep. 2023. (Kraków)DOI: 10.1109/EMCEurope57790.2023.10274225
006
Tokio Futaya, Raisei Mizokuchi, Misato Taguchi, Takuji Miki, Makoto Nagata, Jun Yoneda, Tetsuo Kodera "Cryogenic Inter-chip Connection for Silicon Qubit Devices," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), B-1-02, pp.69-70, Sep. 2023. (Nagoya)
007
Kazuki Monta, Makoto Nagata, Josep Balasch, Ingrid Verbauwhede, "ON THE UNPREDICTABILITY OF SPICE SIMULATIONS FOR SIDE-CHANNEL LEAKAGE VERIFICATION OF MASKED CRYPTOGRAPHIC CIRCUITS," ACM/IEEE Design Automation Conference (DAC 2023), Jul. 2023. (San Francisco)DOI: 10.1109/DAC56929.2023.10247834
008
Kazuki Monta, Makoto Nagata, Josep Balasch, Ingrid Verbauwhede, "ON THE UNPREDICTABILITY OF SPICE SIMULATIONS FOR SIDE-CHANNEL LEAKAGE VERIFICATION OF MASKED CRYPTOGRAPHIC CIRCUITS," ACM/IEEE Design Automation Conference (DAC 2023), Jul. 2023. (San Francisco)
009
Naoya Watanabe, Yuuki Araga, Haruo Shimamoto, Makoto Nagata, Katsuya Kikuchi, "Formation and 3D Stacking Process of CMOS Chips with Backside Buried Metal Power Distribution Networks," in Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC 2023), pp. 1792-1797, June 2023. (Orlando)
010
Takuya Wadatsumi, Rikuu Hasegawa, Kazuki Monta, Takaaki Okidono, Takuji Miki, Makoto Nagata, "A Si-Interposer with Buried Cu Metal Stripes and Bonded to Si-Substrate Backside for Security IC Chips," in Proceedings of the IEEE 73rd Electronic Components and Technology Conference (ECTC 2023), pp. 951-954, June 2023. (Orlando)
011
Kazuki Monta, Takumi Matsumaru, Takaaki Okidono, Takuji Miki, Makoto Nagata, "Side-Channel Leakage Evaluation of Multi-Chip Cryptographic Modules," Workshop on Nano Security at DATE2023, Apr. 2023. (Antwelp)
2022年度
012
Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Kazuki Monta, Takuji Miki, Makoto Nagata, "Characterization of Backside ESD Impacts on Integrated Circuits," IEEE International Reliability Physics Symposium (IRPS 2023), #P22, Mar. 2023. (Monterey)DOI: 10.1109/IRPS48203.2023.10118240
013
Noriyuki Miura, Kotaro Naruse, Jun Shiomi, Yoshihiro Midoh, Tetsuya Hirose, Takaaki Okidono, Takuji Miki, Makoto Nagata, "A Triturated Sensing System," 2023 IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers, pp. 216-217, #13.3, Feb. 2023.
014
Koh Watanabe, Ryota Sakai, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Evaluation of Emission Noise from PCBs Inside an Industrial Unmanned Aerial Vehicle," in Proceedings of the 2022 Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC), FR-AM2-SS07-04, #1570784699, pp. 1, Sep, 2022. (Beijing)
015
Kazuki Monta, Makoto Nagata, Lang Lin, Jimin Wen, Preeti Gupta, Norman Chang, "RTL DESIGN SECURITY VERIFICATION FOR RESISTING POWER SIDE-CHANNEL ANALYSIS," ACM/IEEE Design Automation Conference (DAC 2022), Engineering Tracks, Jul. 2022. (San Francisco)
2021年度
016
Makoto Nagata, "Exploring Fault Injection Attack Resilience of Secure IC Chips," in Proceedings of the IEEE International Reliability Physics Symposium (IRPS 2022), #11C.1, pp. 1-6, Mar, 2022. (online)DOI: 10.1109/IRPS48227.2022.9764485
017
Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Kikuo Muramatsu, Hiromu Hasegawa, Takuya Sawada, Takahito Fukushima, Hisashi Kondo, Takuji Miki, Makoto Nagata, "Voltage Surges by Backside ESD Impacts on IC Chip in Flip Chip Packaging," in Proceedings of IEEE International Reliability Physics Symposium (IRPS 2022), #P14, pp.1-6, Mar. 2022. (online) DOI: 10.1109/IRPS48227.2022.9764457
018
Koh Watanabe, Takuya Wadatsumi, Kazuki Monta, Mai Aoi, Misaki Komatsu, Ryota Sakai, Satoshi Tanaka, Takuji Miki, Makoto Nagata, "Near Field Measurements of Sub-Millimeter-Wave Noise Emission from Digital Integrated Circuits," in Proceedings of the 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2021), pp. 45-47, Mar. 2022. (online)DOI: 10.1109/EMCCompo52133.2022.9758594
019
Koh Watanabe, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Measurements of Electromagnetic Emission Inside Industrial Unmanned Aerial Vehicles," in Proceedings of the 13th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2021), pp. 25-28, Mar. 2022. (online)DOI: 10.1109/EMCCompo52133.2022.9758595
020
Lang Lin, Deqi Zhu, Jimin Wen, Hua Chen, Yu Lu, Norman Chang, Calvin Chow, Harsh Shrivastav, Chia-Wei Chen, Kazuki Monta, Makoto Nagata, "Multiphysics Simulation of EM Side-Channels from Silicon Backside with ML-based Auto-POI Identification," in Proceedings of the 2021 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp. 270-280, Dec. 2021.DOI: 10.1109/HOST49136.2021.9702270
021
Koh Watanabe, Mai Aoi, Misaki Komatsu, Satoshi Tanaka, Makoto Nagata, "Measurements of Electromagnetic Emission nearby a Compact Drone," in Proceedings of the 2021 Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC), pp. 1-4, Sep. 2021. (Bali/Online)DOI: 10.1109/APEMC49932.2021.9597146
022
Hiroki Sonoda, Takuji Miki, Makoto Nagata, "Electromagnetic Susceptibility of VCO-based ADC in 28 nm CMOS Technology," in Extended Abstracts of the 2021 International Conference on Solid State Devices and Materials (SSDM 2021), #L-3-4, pp. 698-699, Sep. 2021. (Virtual conference)
023
Makoto Nagata, "Physical Attack Protection Techniques in IC Chips for IoT Security (Invited)," in Extended Abstracts of the 2021 International Conference on Solid State Devices and Materials (SSDM 2021), #L-2-1, pp. 680-681, Sep. 2021. (Virtual conference)
024
Lang Lin, Deqi Zhu, Kazuki Monta, Makoto Nagata, Calvin Chow, Norman Chang, "Enabling Secure SoC Design by Fast Power-noise & EM Side-channel Emission Analysis," in Proc. 26th DesignCon 2021, Aug. 2021. (San Jose)
025
Kazuki Monta, Leonidas Kataselas, Ferenc Fodor, Alkis Hatzopoulos, Makoto Nagata, Erik Jan Marinissen, "Testing Embedded Toggle Pattern Generation Through On-Chip IR Drop Monitoring," in Proceedings of the 26th IEEE European Test Symposium (ETS 2021), #S1-2, pp. 1-4, May 2021. (Virtual conference)DOI: 10.1109/ETS50041.2021.9465391
2020年度
026
Hiroki Sonoda, Kazuki Monta, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, Noriyuki Miura, Takuji Miki, Makoto Nagata, "Secure 3D CMOS Chip Stacks with Backside Buried Metal Power Delivery Networks for Distributed Decoupling Capacitance," in Proceedings of the 66th IEEE International Electron Device Meeting (IEDM 2020), #31.5, pp. 1-4, Dec. 2020. (Virtual conference)DOI: 10.1109/IEDM13553.2020.9372073
027
Lang Lin, Dinesh Selvakumaran, Deqi Zhu, Norman Chang, Calvin Chow, Makoto Nagata, Kazuki Monta, "Fast and Comprehensive Simulation Methodology for Layout-Based Power-Noise Side-Channel Leakage Analysis," in Proceedings of 2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), pp. 144-149, Dec. 2020. (Virtual conference)DOI: 10.1109/iSES50453.2020.00038
028
Takuji Miki, Noriyuki Miura, Hiroki Sonoda, Kento Mizuta, Makoto Nagata, "A Random Interrupt Dithering SAR Technique for Secure ADC against Reference-Charge Side-Channel Attack," in Proceedings of the 2020 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-1, Oct. 2020. (Virtual conference)DOI: 10.1109/ISCAS45731.2020.9180520
029
Sho Tada, Yuki Yamashita, Kohei Matsuda, Makoto Nagata, Kazuo Sakiyama, Noriyuki Miura, "An Inductive Impulse Self-Destructor in Sense-and-React Countermeasure Against Physical Attacks," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), L-9-05, pp. 791-792, Sep. 2020. (Virtual conference)DOI: 10.7567/SSDM.2020.L-9-05
030
Takuya Wadatsumi, Takuji Miki, Makoto Nagata, "A Dual-mode SAR ADC Enabling On-chip Detection of Off-chip Power Noise Measurements by Attackers," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), L-9-04, pp. 789-790, Sep. 2020. (Virtual conference)DOI: 10.7567/SSDM.2020.L-9-04
031
Akira Tsukada, Ken Okamoto, Yuichiro Okugawa, Jun Kato, Makoto Nagata, "System-Level Response of Ethernet Linkage to Bulk Current Injection into Cables," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD07-1, pp. 1-4, Sep. 2020. (Virtual conference)DOI: 10.1109/EMCEUROPE48519.2020.9245851
032
Masahiro Yamaguchi, Yasunori Miyazawa, Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Ferromagnetic Noise Suppressor to be Implemented in an IC Chip Package," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD08-2, pp. 1, Sep. 2020. (Virtual conference)
033
Makoto Nagata, Koh Watanabe, Noriyuki Miura, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Impacts of Near-Field Undesired Radio Waves from Semiconductor Switching Circuits on Wireless Communications," in Proceedings of the 2020 International Symposium on Electromagnetic Compatibility (EMC Europe 2020), #OD08-1, pp. 1, Sep. 2020. (Virtual conference)
034
Ken Okamoto, Yuichiro Okugawa, Jun Kato, Akira Tsukada, Makoto Nagata, "Electromagnetic Susceptibility of a Switched-Mode Power Supply in an Ethernet Switch," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W3-TU-PM-A2, Aug. 2020. (Virtual conference)
035
Hiroki Sonoda, Ryo Kasai, Daisuke Tanaka, Yoshihide Murakami, Kyoshi Mihara, Kazuo Makida, Katsuya Kikuchi, Makoto Nagata, "Power Noise Suppression by Land-Side Capacitors within Fan-Out Multiple IC Chip Packaging," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W3-TU-PM-A1, Aug. 2020. (Virtual conference)
036
Misaki Komatsu, Koh Watanabe, Mai Aoi, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Evaluation of Undesired Radio Waves by Switching Power Circuits using GaN Transistors," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W2-WE-PM-C, Aug. 2020. (Virtual conference)
037
Mai Aoi, Koh Watanabe, Misaki Komatsu, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Yasunori Miyazawa, Masahiro Yamaguchi, "Magnetic Materials for Radio Frequency Noise Suppression in Flipped IC Chip Packaging," , in Proceedings of the 2020 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2020), Abstract reviewed paper, W1-WE-PM-B, Aug. 2020. (Virtual conference)
038
Makoto Nagata, Kazuki Monta, Akihiro Tsukioka, Lang Lin, Dinesh Selvakumaran, Norman Chang, Calvin Chow, "Fast and Comprehensive Layout-Based, Side-Channel Leakage Simulation with Simulation-to-Disclosure Metering," ACM/IEEE Design Automation Conference (DAC 2020), Designer Track #71.1, Jul. 2020. (Virtual conference)
2019年度
039
Makoto Nagata, Akihiro Tsukioka, Norman Chang, Karthik Srinivasan, "A C-P-S Simulation Technique of Power-Noise Side Channel Leakage in Cryptographic Integrated Circuits," in Proc. 25th DesignCon 2020, Jan. 2020. (Santa Clara)
040
Makoto Nagata, "Deployment of EMC-Compliant IC Chip Techniques in Design for Hardware Security: Invited Paper," in Proceedings of the 9th International Conference on Security, Privacy, and Applied Cryptography Engineering (SPACE 2019), Lecture Notes in Computer Science 11947, pp. 1-5, Dec. 2019. (Gandhinagar)DOI: 10.1007/978-3-030-35869-3_1
041
Noriyuki Miura, Tatsuya Machida, Kohei Matsuda, Makoto Nagata, Shoei Nashimoto, Daisuke Suzuki " A Low-Cost Replica-Based Distance-Spoofing Attack on mmWave FMCW Radar," In Proceedings of the 3rd ACM Workshop on Attacks and Solutions in Hardware Security Workshop (ASHES'19). pp. 95–100, Nov. 2019.DOI: 10.1145/3338508.3359567
042
Takuji Miki, Makoto Nagata, Hiroki Sonoda, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, "A Si-Backside Protection Circuits Against Physical Security Attacks on Flip-Chip Devices," in Proceedings of IEEE Asian Solid-State Circuits Conference (A-SSCC 2019), #3-1, pp. 25-28, Nov. 2019. (Macao)DOI: 10.1109/A-SSCC47793.2019.9056950
043
Makoto Nagata, "On-Chip Protection of Cryptographic ICs Against Physical Side Channel Attacks (Invited)," in Proceedings of the 13th IEEE International Conference on ASIC (ASICON 2019), #C1-1, pp. 1-4, Oct. 2019. (Chongqing)DOI: 10.1109/ASICON47005.2019.8983486
044
Masahiro Yamaguchi, Akihiro Takahashi, Yasunori Miyazawa, Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, "Sintered Ferrite Thin Plate Noise Suppressor Mounted on IC Chip Interposer (Invited)," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), pp. 231-233, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919832
045
Koh Watanabe, Kosuke Jike, Satoshi Tanaka, Noriyuki Miura, Makoto Nagata, Akihiro Takahashi, Yasunori Miyazawa, Masahiro Yamaguchi, "Magnetic Composite Sheets in IC Chip Packaging for Suppression of Undesired Noise Emission to Wireless Communication Channels," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), pp. 219-221, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919937
046
Hiroki Sonoda, Makoto Nagata, Daisuke Tanaka, Yoshihide Murakami, Kyoshi Mihara, Kazuo Makida, Katsuya Kikuchi, "In-Place Power Noise and Signal Waveform Measurements on LVDS Channels in Fan-Out Multiple IC Chip Packaging," in Proceedings of the 12th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2019), #1570581065, pp. 1-3, Oct. 2019. (Haining)DOI: 10.1109/EMCCompo.2019.8919926
047
Tomoaki Mahiko, Makoto Nagata, "Development of novel Cu electroplating for electronic interconnects in advanced packaging," in Proceedings of the 29th Asian Session of Advanced Metallization Conference 2019 (ADMETAplus 2019), P-5 (poster presentation), pp. 47-48, Oct. 2019. (Tokyo)
048
Takuji Miki, Makoto Nagata, Akihiro Tsukioka, Noriyuki Miura, Takaaki Okidono, Yuuki Araga, Naoya Watanabe, Haruo Shimamoto, Katsuya Kikuchi, "Over-the-top Si Interposer Embedding Backside Buried Metal PDN to Reduce Power Supply Impedance of Large Scale Digital ICs," IEEE 2019 International 3D Systems Integration Conference (3DIC 2019), #B5L-B, pp.1-4, Oct. 2019. (Sendai)DOI: 10.1109/3DIC48104.2019.9058860
049
Naoya Watanabe, Yuuki Araga, Haruo Shimamoto, Katsuya Kikuchi, Makoto Nagata, "Development of Backside Buried Metal Layer Technology for 3D-ICs," in Proceedings of The 52th International Symposium on Microelectronics (IMAPS 2019), #WAM4-3, pp. 1-6, Oct. 2019. (Boston)DOI: 10.4071/2380-4505-2019.1.000268
050
Kohei Matsuda, Sho Tada, Makoto Nagata, Yang Li, Takeshi Sugawara, Mitsugu Iwamoto, Kazuo Ohta, Kazuo Sakiyama, Noriyuki Miura, "An Information Leakage Sensor Based on Measurement of Laser-Induced Opto-Electric Bulk Current Density," in Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM), M-1-03, pp. 501-502, Sep. 2019. (Nagoya)
051
Makoto Nagata, Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Evaluation of Near-Field Undesired Radio Waves from Semiconductor Switching Circuits," in Proceedings of the 2019 International Symposium on Electromagnetic Compatibility (EMC Europe 2019), #O_Th_B_2_1, pp. 866-869, Sep. 2019. (Barcelona)DOI: 10.1109/EMCEurope.2019.8871554
052
Akihiro Tsukioka, Makoto Nagata, Karthik Srinivasan, Shan Wan, Lang Lin, Ying-Shiun Li, Norman Chang, "A Fast Side-channel Leakage Simulation Technique Based on IC Chip Power Noise Modeling," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, TH-PM-3-4, Jul. 2019. (New Orleans)
053
Hiroshi Suenaga, Akihiro Tsukioka, Kosuke Jike, Makoto Nagata, "Compact Simulation of Chip-to-Chip Active Noise Coupling on A System PCB Board," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, Wednesday poster, Jul. 2019. (New Orleans)
054
Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Makoto Nagata, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Impacts of Undesired Radio Waves on Mobile Communications Nearby Inverter Power Devices," in Proceedings of the 2019 IEEE International Symposium on Electromagnetic Compatibility, Signal & Power Integrity (EMC+SIPI 2019), Abstract reviewed paper, Wednesday poster, Jul. 2019. (New Orleans)
055
Akihiro Tsukioka, Makoto Nagata, Karthik Srinivasan, Shan Wan, Lang Lin, Ying-Shiun Li, Norman Chang, "A Full System Simulation Technique of Power-noise Side Channel Leakage in Cryptographic Integrated Circuits," ACM/IEEE Design Automation Conference (DAC 2019), Designer Track Reviewed #18.6, Jun. 2019. (Las Vegas)
056
Kosuke Koiwa, Rei Ueno, Daisuke Fujimoto, Yuichi Hayashi, Makoto Nagata, Makoto Ikeda, Tsutomu Matsumoto, Naofumi Homma, "Collision-Based EM Analysis on ECDSA Hardware and a Countermeasure," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #FriAM1C.3, pp. 793-796, Jun. 2019. (Sapporo)
057
Masahiro Yamaguchi, Mitsuharu Sato, Akihiko Takahashi, Yasunori Miyazawa, Satoshi Tanaka, Kosuke Jike, Koh Watanabe, Noriyuki Miura, Makoto Nagata, "Magnetic Powder Composite Noise Suppressor for Flip Chip Mounted High Speed IC Chip," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.6, pp. 38-41, Jun. 2019. (Sapporo)
058
Masaki Kurimoto, Koichi Kondo, Yusuke Ohdaira, Yasunori Miyazawa, Satoshi Tanaka, Makoto Nagata, Yasuyuki Okiyoneda, Masahiro Yamaguchi, Shigeyoshi Yoshida, "Suppression of Unnecessary Radio Wave Radiated from Power Electronics Equipment Using Noise Suppression Sheet," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.5, pp. 37, Jun. 2019. (Sapporo)
059
Koh Watanabe, Yoshifumi Sugimoto, Noriyuki Miura, Makoto Nagata, Satoshi Tanaka, Yasunori Miyazawa, Masahiro Yamaguchi, "Interference of Undesired Radio Waves Near Inverter Power Devices on Mobile Communications," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM2C.4, pp. 36, Jun. 2019. (Sapporo)
060
Akihiro Tsukioka, Makoto Nagata, Noriyuki Miura, Kenji Niinomi, Rieko Akimoto, Takao Egami, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Immunity Simulation of ESD Protection Devices in High Voltage BiCD Technology," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.4, pp. 25, Jun. 2019. (Sapporo)
061
Ko Oyama, Yasuyuki Ishikawa, Shuji Agatsuma, Makoto Nagata, "Analysis of Disturbance Propagation in Silicon Substrate on SOI-BCD Process," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.3, pp. 24, Jun. 2019. (Sapporo)
062
Hiroshi Suenaga, Akihiro Tsukioka, Makoto Nagata, "Chip to Chip Noise Interference Simulation Via Package and Board," Proceedings of the IEICE EMC Sapporo 2019/IEEE APEMC 2019, #MonPM1C.2, pp. 23, Jun. 2019. (Sapporo)
063
Makoto Nagata, Takuji Miki, Noriyuki Miura, "On-Chip Physical Attack Protection Circuits for Hardware Security (Invited)," Proceedings of the IEEE Custom Integrated Circuits Conference (CICC 2019), #15-5, pp. 1-6, Apr. 2019.DOI: 10.1109/CICC.2019.8780386
2018年度
064
Jean-Luc Danger, Risa Yashiro, Tarik Graba, Yves Mathieu, Abdelmalek Si-Merabet, Kazuo Sakiyama, Noriyuki Miura, Makoto Nagata, Sylvain Guilley, "Analysis of Mixed PUF-TRNG Circuit Based on SR-Latches in FD-SOI Technology," Proceedings of the 21th Euromicro Conference on Digital System Design (DSD 2018), #AHSA-3, pp. 508-515, Aug. 2018. (Prague)DOI: 10.1109/DSD.2018.00090
065
Masahiro Yamaguchi, Yasunori Miyazawa, Jinyang Ma, Mitsuharu Sato, Akihiro Takahashi, Satoshi Tanaka, Makoto Nagata, Ranajit Sai, "Measurement and Magnetic Countermeasure Methodology to Deal with Inverter Noise," Proceedings of the 2018 International Symposium on Electromagnetic Compatibility (EMC Europe 2018), #NM-4, pp. 608-612, Aug. 2018. (Amsterdam)DOI: 10.1109/EMCEurope.2018.8485118
066
Akihiro Tsukioka, Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura, Rieko Akimoto, Takao Egami, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Interaction of RF DPI with ESD protection Devices in EMS Testing of IC Chips," International Symposium on Electromagnetic Compatibility (EMC Europe 2018), #ICb-2,pp. 445-450, Aug. 2018. (Amsterdam)DOI: 10.1109/EMCEurope.2018.8484996
067
Koichi Kondo, Masaki Kurimoto, Yusuke Ohdaira, Yasunori Miyazawa, Satoshi Tanaka, Makoto Nagata, Yasuyuki Okiyoneda, Masahiro Yamaguchi, "Suppression of Unnecessary Radio Wave Radiated from Inverter Equipment using Noise Suppression Sheet," 2018 IEEE Symposium on Electromagetic Compatibility, Signal and Power Integrity (EMC+SIPI 2018), #TH-PM-1-4, pp. 1, Aug. 2018. (Long Beach)DOI: 10.1109/EMCSI.2018.8495344
068
Kosuke Koiwa, Daisuke Fujimoto, Yuichi Hayashi, Makoto Nagata, Makoto Ikeda, Tsutomu Matsumoto, Naofumi Homma, "EM Security Analysis of Compact ECDSA Hardware," Proceedings of 2018 IEEE International Symposium on Electromagnetic Compatibility and IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC/APEMC), pp. 12, May 2018. (Singapore)DOI: 10.1109/ISEMC.2018.8394012
069
Shota Nin, Daisuke Fujimoto,Yuichi Hayashi, Noriyuki Miura, Makoto Nagata, Tsutomu Matsumoto, "HT-Detection Method Based on Impedance Measurements of ICs," Proceedings of 2018 IEEE International Symposium on Electromagnetic Compatibility and IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC/APEMC), pp. 11, May 2018. (Singapore)DOI: 10.1109/ISEMC.2018.8394011
070
Akihiro Tsukioka, Makoto Nagata, Takao Egami, Rieko Akimoto, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Extended CPS Simulation for EMC Compliance of Automotive IC Chip Developments," ACM/IEEE Design Automation Conference (DAC 2018), Designer Track Reviewed #1.5, Jun. 2018. (San Francisco)
071
Masanori Takahashi, Makoto Nagata, Noriyuki Miura, "Supply-Chain Security Enhancement by Chaotic Wireless Chip-Package-Board Interactive PUF," 2018 IEEE 68th Electronic Components and Technology Conference (ECTC 2018), Session 11-5, pp. 521-526, May. 2018. (San Diego)DOI: 10.1109/ECTC.2018.00082
2017年度
072
Kohei Matsuda, Tatsuya Fujii, Natsu Shoji, Takeshi Sugawara, Kazuo Sakiyama, Yu-ichi Hayashi, Makoto Nagata, Noriyuki Miura, " A 286F²/Cell Distributed Bulk-Current Sensor and Secure Flush Code Eraser Against Laser Fault Injection Attack," Dig. Tech. Papers, 2018 IEEE International Solid-State Circuits Conference (ISSCC), #21.5, pp. 352-353, Feb. 2018. (San Francisco)DOI: 10.1109/ISSCC.2018.8310329
073
Noriyuki Miura, Masanori Takahashi, Kazuki Nagatomo, Makoto Nagata, "Chaos, Deterministic Non-Periodic Flow, for Chip-Package-Board Interactive PUF," Proc. 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC 2017), S3-2, pp. 25-28, Nov. 2017. (Seoul)DOI: 10.1109/ASSCC.2017.8240207
074
Takeshi Sugawara, Natsu Shoji, Kazuo Sakiyama, Kohei Matsuda, Noriyuki Miura, Makoto Nagata, "Exploiting Bitflip Detector for Non-Invasive Probing and its Application to Ineffective Fault Analysis," Proceedings of the IEEE 2017 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2017), #3.2, pp. 49-56, Sep. 2017. (Taipei).DOI: 10.1109/FDTC.2017.17
075
Akihiro Tsukioka, Makoto Nagata, Kohki Taniguchi, Daisuke Fujimoto, Rieko Akimoto, Takao Egami, Kenji Niinomi, Takeshi Yuhara, Sachio Hayashi, Rob Mathews, Karthik Srinivasan, Ying-Shiun Li, Norman Chang, "Simulation Techniques for EMC Compliant Design of Automotive IC Chips and Modules," Proceedings of the 2017 International Symposium on Electromagnetic Compatibility (EMC Europe 2017), #O_Th_A2_2, pp. 1-5, Sep. 2017. (Angers)DOI: 10.1109/EMCEurope.2017.8094691
076
Ko Oyama, Yosuke Kondo, Daisaku Ikoma, Yasuyuki Ishikawa, Akitaka Murata, Shuji Agatsuma, Makoto Nagata, "Effect of Field Area on Disturbance Propagation through Silicon Substrates in SOI-BCD Process," Proceedings of the 2017 International Symposium on Electromagnetic Compatibility (EMC Europe 2017), #O_We_C2_3, pp. 1-5, Sep. 2017. (Angers)DOI: 10.1109/EMCEurope.2017.8094624
077
Daisuke Ishihata, Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Takafumi Aoki, "Enhancing Reactive Countermeasure against EM Attacks with Low Overhead," Proceedings of the 2017 IEEE International Symposium on Electromagnetic Compatibility, Signal and Power Integrity, #WED-AM-4-2, pp. 399-404, Aug. 2017. (Washington, DC)DOI: 10.1109/ISEMC.2017.8077903
078
Yasunori Miyazawa, Satoshi Tanaka, Masahiro Nishizawa, Jingyan Ma, Masahiro Yamaguchi, Koichi Kondo, Makoto Nagata, Yasuyuki Okiyoneda, "Analysis of Unnecessary Radio Wave Near the Inverter Equipment at the Carrier Frequency-Range of Mobile Terminal," Proceedings of the 2017 IEEE International Symposium on Electromagnetic Compatibility, Signal and Power Integrity, Poster, pp.283-287, Aug. 2017. (Washington, DC)DOI: 10.1109/ISEMC.2017.8077881
079
Kohki Taniguchi, Makoto Nagata, Akihiro Tsukioka, Daisuke Fujimoto, Noriyuki Miura, Takao Egami, Rieko Akimoto, Kenji Niinomi, Terumitsu Komatsu, Yoshinori Fukuba, Atsushi Tomishima, "Susceptibility Evaluation of CAN Transceiver Circuits with In-Place Waveform Capturing under RF DPI," in Proceedings of the 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2017), pp. 59-63, Jul. 2017. (St. Petersburg)DOI: 10.1109/EMCCompo.2017.7998082
080
Masahiro Yamaguchi, Yasushi Endo, Peng Fan ,Jingyan Ma ,Satoshi Tanaka, Yasunori Miyazawa,Makoto Nagata, "Analysis of Patterned Magnetic Thin-film Noise Suppressor for RF IC Chip," in Proceedings of the 11th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2017), pp. 45-49, Jul. 2017. (St. Petersburg)DOI: 10.1109/EMCCompo.2017.7998079
081
Noriyuki Miura, Kohei Matsuda, Makoto Nagata, Shivam Bhasin, Ville Yli-Mayry, Naofumi Homma, Yves Mathieu, Tarik Graba, Jean-Luc Danger, "A 2.5ns-Latency 0.39pJ/b 289µm²/Gb/s Ultra-Light-Weight PRINCE Cryptographic Processor," 2017 Symposium on VLSI Circuits Digest of Technical Papers, #20.2, pp. 266-267, Jun. 2017. (Kyoto)DOI: 10.23919/VLSIC.2017.8008502
082
Masahiro Yamaguchi, Satoshi Tanaka, Jingyan Ma, Yasunori Miyazawa, Mitsuharu Sato, Masahiro Nishizawa, Makoto Nagata, Kazushi Ishiyama, Koichi Kondo, Yasuyuki Okiyoneda, "Magnetic Integrated Passives for Information and Communication Technology (Invited)," 2017 IEEE International Magnetics Conference (INTERMAG), BA-03, Apr. 2017. (Dublin)DOI: 10.1109/INTMAG.2017.8007611
083
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Cu-Sn Based Joint Material Having IMC Forming Control Capabilities," in Proceedings of International Conference on Electronics Packaging (ICEP 2017), #TC4-2, pp. 171-176, Apr. 2017. (Yamagata)DOI: 10.23919/ICEP.2017.7939350
2016年度
084
Noriyuki Miura, Shijia Liu, Tsuyoshi Watanabe, Shigeki Imai, Makoto Nagata, "A Permanent Digital Archive System Based on 4F2 X-Point Multi-Layer Metal Nano-Dot Structure," Dig. Tech. Papers, 2017 IEEE International Solid-State Circuits Conference (ISSCC), #15.8, pp. 270-271, Feb. 2017. (San Francisco)DOI: 10.1109/ISSCC.2017.7870365
085
Akihiro Tsukioka, Naoya Yamamoto, Rie Korenaga, Makoto Nagata, Karthik Srinivasan, Norman Chang, Ying-Shiun Li, Masaya Takahashi, "Active Power Noise Modeling toward Design for EMI Compliance of IC Chips," Proc. 2017 DesignCon, Jan. 2017. (Santa Clara)
086
Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata, "An FPGA-Compatible PLL-Based Sensor against Fault Injection Attack," Proc. ACM 2017 Asia and South Pacific Design Automation Conference (ASP-DAC 2017), #1S-18, pp. 1-2, Jan. 2017. (Makuhari, Chiba)DOI: 10.1109/ASPDAC.2017.7858291
087
Kohei Matsuda, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Tatsuya Fujii, Kazuo Sakiyama, "On-chip substrate-bounce monitoring for laser-fault countermeasure," 2016 IEEE Asian Hardware-Oriented Security and Trust (AsianHOST), pp. 1-6, Dec. 2016. (Yilan)DOI: 10.1109/AsianHOST.2016.7835565
088
Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi, "Superiority of In-Stack Decoupling Capacitor for 3D-LSI with Wide I/O Data Bus," Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials (SSDM 2016), #K-1-3, pp. 469-470, Sep. 2016. (Tsukuba)DOI: 10.7567/SSDM.2016.K-1-03
089
Takuji Miki, Noriyuki Miura, Kento Mizuta, Shiro Dosho, Makoto Nagata, "A 500MHz-BW -52.5dB-THD Voltage-to-Time Converter Utilizing a Two-Step Transition Inverter," Proc. IEEE 2016 European Solid-State Circuits Conference (ESSCIRC 2016), #A2L-J_1, pp. 141-144, Sep. 2016. (Lausanne)DOI: 10.1109/ESSCIRC.2016.7598262
090
Yuuki Araga, Makoto Nagata, Noriyuki Miura, Hiroaki Ikeda, Katsuya Kikuchi, "EMI Performance of Power Delivery Networks in 3D TSV Integration," Proc. IEEE 2016 International Symposium on Electromagnetic Compatibility (EMC Europe 2016), #OS12-2, pp. 428-433, Sep. 2016. (Wroclaw)DOI: 10.1109/EMCEurope.2016.7739267
091
Wei He, Jakub Breier, Shivam Bhasin, Noriyuki Miura, Makoto Nagata, "Ring Oscillator Under Laser: Potential of PLL Based Countermeasure Against Laser Fault Injection," Proc. IEEE 2016 Workshop on Fault Diagnosis and Tolerance in Cryptography (FDTC 2016), #4.2, pp. 102-113, Aug. 2016. (Santa Barbara)DOI: 10.1109/FDTC.2016.13
092
Noriyuki Miura, Zakaria Najm, Wei He, Shivam Bhasin, Xuan Thuy Ngo, Makoto Nagata, Jean-Luc Danger, "PLL to the Rescue: A Novel EM Fault Countermeasure," Proc. 2016 53rd ACM/EDAC/IEEE Design Automation Conference (DAC 2016), #57.5, pp. 1-6, Jun. 2016. (Austin)DOI: 10.1145/2897937.2898065
093
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Die Attach Material for Power Semiconductor Having Nano-Level Sn-Cu Diffusion Control," Proc. 2016 IEEE 66th Electronic Components and Technology Conference (ECTC 2016), #10.3, pp. 426-431, Jun. 2016. (Las Vegas)DOI: 10.1109/ECTC.2016.122
094
Daisuke Ishihata, Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Takafumi Aoki, "Enhancement of Reactive Countermeasure against Side-Channel Attacks with Microprobing," in Proceedings of the 25th International Workshop on Post-Binary ULSI Systems, pp. 28-32, May. 2016.
095
Kazuo Sakiyama, Momoka Kasuya, Takanori Machida, Arisa Matsubara, Yunfeng Kuai, Yu-Ichi Hayashi, Takaaki Mizuki, Noriyuki Miura, Makoto Nagata, "Physical Authentication Using Side-Channel Information," Proc. IEEE International Conference on Information and Communication Technology (ICoICT 2016), May. 2016.DOI: 10.1109/ICoICT.2016.7571953
096
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Fine Pitch Micro-Bump Forming by Printing," Proc. 2016 International Conference on Electronics Packaging (ICEP 2016), pp. 260-264, Apr. 2016. (Sapporo)DOI: 10.1109/ICEP.2016.7486824
2015年度
097
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "3DIC/TSV Process Developments by Printing Technologies," Proc. IEEE CPMT Symposium Japan (ICSJ 2015), pp. 140-143, Nov. 2015. (Kyoto)DOI: 10.1109/ICSJ.2015.7357382
098
Satoshi Tanaka, Peng Fan, Jingyan Ma, Hanae Aoki, Masahiro Yamaguchi, Makoto Nagata, Sho Muroga, "Analysis of On-Chip Digital Noise Coupling Path for Wireless Communication IC Test Chip," Proc. 10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #8-1(poster), pp. 216-221, Nov. 2015. (Edinburgh)DOI: 10.1109/EMCCompo.2015.7358360
099
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Rei Tamaki, Makoto Nagata, "Nano-Function Materials for TSV Technologies," Proc. 2015 International 3D Systems Integration Conference (3DIC 2015), pp. TS5.3.1-TS5.3.6, Sep. 2015. (Sendai)DOI: 10.1109/3DIC.2015.7334569
100
Masahiro Yamaguchi, Peng Fan, Satoshi Tanaka, Makoto Nagata, Sho Muroga, "Analysis of Intra-Chip Degital Noise Coupling Path in Fully LTE Compliant RF Receiver Test Chip," Proc. Joint IEEE International Symposium on Electromagnetic Compatibility and EMC Europe (EMC 2015), #Track N-4, pp.1007-1011, Aug. 2015. (Dresden)DOI: 10.1109/ISEMC.2015.7256304
101
Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, "Proactive and Reactive Protection Circuit Techniques Against EM Leakage and Injection," Proc. Joint IEEE International Symposium on Electromagnetic Compatibility and EMC Europe (EMC 2015), #SS-1-7, pp. 252-257, Aug. 2015. (Dresden)DOI: 10.1109/ISEMC.2015.7256168
102
Noriyuki Miura, Daisuke Fujimoto, Makoto Nagata, Naofumi Homma, Yuichi Hayashi, Takafumi Aoki, "EM Attack Sensor: Concept, Circuit, and Design-Automation Methodology (Invited)," Proc. ACM Design Automation Conference 2015 (DAC 2015), #69.2, pp. 1-6, Jun. 2015. (San Francisco)DOI: 10.1145/2744769.2747923
103
Masahiro Yamaguchi, Satoshi Tanaka, Yasushi Endo, Sho Muroga, Makoto Nagata, "On-chip Integrated Magnetic Thin-Film Solution to Countermeasure Digital Noise on RF IC," Proc. 2015 IEEE Asia-Pacific International Symposium on Electromagnetic Compatibility (APEMC 2015), #SS10-5, pp. 536-539, May. 2015. (Taipei)DOI: 10.1109/APEMC.2015.7175409
104
Kohki Taniguchi, Noriyuki Miura, Taisuke Hayashi, Makoto Nagata, "At-Product-Test Dedicated Adaptive Supply-Resonance Suppression," Proc. 2015 IEEE 33rd VLSI Test Symposium (VTS 2015), #06A-1, pp. 127-130, May. 2015. (Napa)DOI: 10.1109/VTS.2015.7116273
105
Hiroaki Ikeda, Shigenobu Sekine, Ryuji Kimura, Koichi Shimokawa, Keiji Okada, Hiroaki Shindo, Tatsuya Ooi, Makoto Nagata, "Nano-Function Paste for Power Semiconductors ," Proc. 2015 International Conference on Electrnoics Packaging and iMAPS All Asia Conference (ICEP-IAAC 2015), #TE3-1, pp. 482-485, Apr. 2015. (Kyoto)DOI: 10.1109/ICEP-IAAC.2015.7111063
2014年度
106
Daisuke Fujimoto, Makoto Nagata, Shivam Bhasin, Jean-Luc Danger, "A Novel Methodology for Testing Hardware Security and Trust Exploiting On-Chip Power Noise Measurement," Proc. 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), #8C-3, pp. 749-754, Jan. 2015. (Makuhari)DOI: 10.1109/ASPDAC.2015.7059100
107
Daisuke Fujimoto, Noriyuki Miura, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "A DPA/DEMA/LEMA-Resistant AES Cryptographic Processor with Supply-Current Equalizer and Micro EM Probe Sensor ," Proc. 20th Asia and South Pacific Design Automation Conference (ASP-DAC 2015), #1S-13, pp. 26-27, Jan. 2015. (Makuhari)DOI: 10.1109/ASPDAC.2015.7058929
108
Masahiro Yamaguchi, Satoshi Tanaka, Yasushi Endo, Makoto Nagata, Hiroaki Matsui, Mizuki Iwanami, Kenta Tsukamoto, "IC Chip Level Low Noise Technology for High Speed and High Quality Telecommunication Systems (Invited)," Proceedings of Asia-Pacific Microwave Conference 2014 (APMC 2014), TH2E-1, pp. 540-542, Nov. 2014. (Sendai)
109
Makoto Nagata, Daisuke Fujimoto, Noriyuki Miura, "On-Chip Monitoring for In-Place Diagnosis of Undesired Power Domain Problems in IC Chips," The 23rd Asian Test Symposium (ATS 2014), #6C-3, pp. 258-262, Nov. 2014. (Hangzhou)DOI: 10.1109/ATS.2014.55
110
Noriyuki Miura, Daisuke Fujimoto, Rie Korenaga, Kohei Matsuda, Makoto Nagata, "An Intermittent-Driven Supply-Current Equalizer for 11x and 4x Power-Overhead Savings in CPA-Resistant 128bit AES Cryptographic Processor," Proc. 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC 2014), #14-5, pp. 225-228, Nov. 2014. (Kaohsiung)DOI: 10.1109/ASSCC.2014.7008901
111
Naofumi Homma, Yu-ichi Hayashi, Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Makoto Nagata, Takafumi Aoki, "EM Attack Is Non-Invasive? -- Design Methodology and Validity Verification of EM Attack Sensor," IACR Workshop on Cryptographic Hardware and Embedded Systems 2014 (CHES 2014), #1-1, LNCS 8731, pp. 1-16, Sep. 2014. (Busan)DOI: 10.1007/978-3-662-44709-3_1
112
Yuuki Araga, Ranto Miura, Makoto Nagata, Cesar Roda Neve, Joeri De Vos, Geert Van der Plas, Eric Beyne, "A Study on Power Integrity in a 3D Chip Stack Using Dynamic Power Supply Current Emulation and Power Noise Monitoring," IEEE Electronics System-Integration Technology Conference (ESTC 2014), #S14P2, pp. 1-5, Sep. 2014. (Helsinki)DOI: 10.1109/ESTC.2014.6962728
113
Makoto Nagata, Satoshi Takaya, Hiroaki Ikeda, Dimitri Linten, Mirko Scholz, Shih-Hung Chen, Keiichi Hasegawa, Taizo Shintani, Masanori Sawada, "CDM Protection of a 3D TSV Memory IC with a 100 GB/s Wide I/O Data Bus," Proc. ESDA 36th Annual EOS/ESD Symposium, #2A-2, pp. 1-7, Sep. 2014. (Tuscon)
114
Noriyuki Miura, Daisuke Fujimoto, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "Integrated-Circuit Countermeasures Against Information Leakage Through EM Radiation," Proc. 2014 IEEE International Symposium on Electromagnetic Compatibility, #TH-AM-3-3, pp. 748-751, Aug. 2014. (Raleigh)DOI: 10.1109/ISEMC.2014.6899068
115
Noriyuki Miura, Daisuke Fujimoto, Daichi Tanaka, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata, "A Local EM-Analysis Attack Resistant Cryptographic Engine with Fully-Digital Oscillator-Based Tamper-Access Sensor," IEEE 2014 Symposium on VLSI Circuits Digest of Technical Papers, #16.4, pp. 172-173, Jun. 2014. (Honolulu)DOI: 10.1109/VLSIC.2014.6858423
116
Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Shivam Bhasin, Jean-Luc Danger, "Correlation Power Analysis using Bit-Level Biased Activity Plaintexts against AES Cores with Countermeasures," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P2-A3, pp. 306-309, May. 2014. (Tokyo)
117
Yuuki Araga, Ranto Miura, Nao Ueda, Noriyuki Miura, Makoto Nagata, "In-Stack Monitoring of Signal and Power Nodes in Three Dimensional Integrated Circuits," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P2-B1, pp. 362-365, May. 2014. (Tokyo)
118
Masahiro Yamaguchi, Yasushi Endo, Satoshi Tanaka, Tetsuo Ito, Sho Muroga, Naoya Azuma, Makoto Nagata, "On-Chip Magnetic Thin-Film Noise Suppressor for IC Chip Level Digital Noise Countermeasure," Proc. 2014 International Symposium on Electromagnetic Compatibility, Tokyo, #14P1-B4, pp. 354-357, May. 2014. (Tokyo)
119
Daisuke Fujimoto, Daichi Tanaka, Noriyuki Miura, Makoto Nagata, Yu-ichi Hayashi, Naofumi Homma, Shivam Bhasin, Jean-Luc Danger, "Side-Channel Leakage on Silicon Substrate of CMOS Cryptographic Chip," Proc. 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2014), #3-3, pp. 32-37, May. 2014. (Arlington)DOI: 10.1109/HST.2014.6855564
120
Taisuke Hayashi, Noriyuki Miura, Kumpei Yoshikawa, Makoto Nagata, "A Passive Supply-Resonance Suppression Filter Utilizing Inductance- Enhanced Coupled Bonding-W Coils," Proc. IEEE 2014 International Symposium on VLSI Design, Automation and Test (VLSI-DAT 2014), #DR52, pp. 121-124, Apr. 2014. (Hsinchu)DOI: 10.1109/VLSI-DAT.2014.6834894
2013年度
121
Shivam Bhasin, Jean-Luc Danger, Tarik Graba, Yves Mathieu, Daisuke Fujimoto, Makoto Nagata, "Physical Security Evaluation at an Early Design-Phase: A Side-Channel Aware Simulation Methodology," ACM Workshop Proc. Engineering Simulations for Cyber Physical Systems (ES4CPS), #3, pp. 13-20, Mar. 2014. (Dresden)DOI: 10.1145/2589650.2559628
122
Noriyuki Miura, Shiro Dosho, Satoshi Takaya, Daisuke Fujimoto, Takuya Kiriyama, Hiroyuki Tezuka, Takuji Miki, Hiroto Yanagawa, Makoto Nagata, "A 1mm-Pitch 80×80-Channel 322Hz-Frame-Rate Touch Sensor with Two-Step Dual-Mode Capacitance Scan," Dig. Tech. Papers, 2014 IEEE International Solid-State Circuits Conference (ISSCC), #12.4, pp. 216-217, Feb. 2014. (San Francisco)DOI: 10.1109/ISSCC.2014.6757406
123
Kumpei Yoshikawa, Yuji Harada, Noriyuki Miura, Noriaki Takeda, Yoshiyuki Saito, Makoto Nagata, "Immunity Evaluation of Inverter Chains against RF Power on Power Delivery Network," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #IM1-4, pp. 232-237, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735206
124
Akitaka Murata, Shuji Agatsuma, Daisaku Ikoma, Kouji Ichikawa, Takahiro Tsuda, Makoto Nagata, Kumpei Yoshikawa, Yuuki Araga, Yuji Harada, "Noise Analysis using On-Chip waveform Monitor in Bandgap Voltage References," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #IM1-3, pp. 226-231, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735205
125
Sho Muroga, Yutaka Shimada, Yasushi Endo, Satoshi Tanaka, Masahiro Yamaguchi, Motoki Murakami, Kazuaki Hori, Naoya Azuma, Makoto Nagata, Satoru Takahashi, "In-Band Spurious Attenuation in LTE-Class RFIC Chip using a Soft Magnetic Thin Film," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-5, pp. 47-52, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735171
126
Naoya Azuma, Shunsuke Shimazaki, Noriyuki Miura, Makoto Nagata, Tomomitsu Kitamura, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Atsushi Nakamura, Kenta Tsukamoto, Mizuki Iwanami, Eiji Hankui, Sho Muroga, Yasushi Endo, Satoshi Tanaka, Masahiro Yamaguchi, "Measurements and Simulation of Substrate Noise Coupling in RF ICs with CMOS Digital Noise Emulator," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-4, pp. 42-46, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735170
127
Makoto Nagata, Shunsuke Shimazaki, Naoya Azuma, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Satoshi Tanaka, Masahiro Yamaguchi, "Measurement-Based Diagnosis of Wireless Communication Performance in the Presence of In-Band Interferers in RF ICs," Proc. 2013 IEEE 9th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo), #SS-3, pp. 37-41, Dec. 2013. (Nara)DOI: 10.1109/EMCCompo.2013.6735169
128
Makoto Nagata, Sathoshi Takaya, Hiroaki Ikeda, "Very low-voltage swing while high-bandwidth data transmission through 4096 bit TSVs," IEEE International 3D Systems Integration Conference (3DIC 2013), #3-1, pp. 3.1.1-3.1.4, Oct. 2013. (San Francisco)DOI: 10.1109/3DIC.2013.6702359
129
Shunsuke Shimazaki, Shota Taga, Tetsuya Makita, Naoya Azuma, Noriyuki Miura, Makoto Nagata, "Emulation of High Frequency Substrate Noise in CMOS Digital Circuits with Effects of Adjusting Clock Skew," Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials (SSDM 2013), #PS-5-5, pp. 124, Sep. 2013. (Fukuoka)DOI: 10.7567/SSDM.2013.PS-5-5
130
Naoya Azuma, Tetsuya Makita, Shinichiro Ueyama, Makoto Nagata, Satoru Takahashi, Motoki Murakami, Kazuaki Hori, Satoshi Tanaka, Masahiro Yamaguchi, "In-System Diagnosis of RF ICs for Tolerance against On-Chip In-Band Interferers," Proc. 2013 IEEE International Test Conference (ITC 2013), #12.3, pp. 12.3.1-12.3.9, Sep. 2013. (Anaheim)DOI: 10.1109/TEST.2013.6651922
131
Daisuke Fujimoto, Noriyuki Miura, Makoto Nagata, Yuichi Hayashi, Naofumi Homma, Yohei Hori, Toshihiro Katashita, Kazuo Sakiyama, Thanh-Ha Le, Julien Bringer, Pirouz Bazargan-Sabet, Jean-Luc Danger, "On-Chip Power Noise Measurements of Cryptographic VLSI Circuits and Interpretation for Side-Channel Analysis," Proc. 2013 IEEE International Symposium on Electromagnetic Compatibility in Europe (EMC Europe 2013), pp. 405-410, Sep. 2013. (Brugge)
132
Sho Muroga, Yasushi Endo, Tetsuo Ito, Satoshi Tanaka, Motoki Murakami, Kazuaki Hori, Satoru Takahashi, Naoya Azuma, Tetsuya Makita, Satoshi Imai, Makoto Nagata, Masahiro Yamaguchi, "In-Band Spurious Attenuation in LTE-Class RFIC Chip using a Soft Magnetic Thin Film," Proc. 2013 IEEE International Symposium on Electromagnetic Compatibility (EMC 2013), TH-AM-3-1, pp. 657-661, Aug. 2013. (Denver)DOI: 10.1109/ISEMC.2013.6670493
133
Yuji Harada, Kumpei Yoshikawa, Noriyuki Miura, Makoto Nagata, Akitaka Murata, Syuji Agatsuma, Kouji Ichikawa, "Power-Noise Measurements of Small-Scale Inverter Chains," Proc. IEEE 2013 International Meeting for Future of Electron Devices, Kansai (IMFEDK 2013), #PS-03, pp. 102-103, May. 2013. (Osaka)DOI: 10.1109/IMFEDK.2013.6602259
134
Makoto Nagata, Daisuke Fujimoto, Daichi Tanaka, "Power Current Modeling of Cryptographic VLSI Circuits for Analysis of Side Channel Attacks," Proc. 2013 IEEE Asia-Pacific International Symposium and Exhibition on Electromagnetic Compatibility (APEMC 2013), #103, pp. 1-4, May. 2013. (Melbourne)DOI: 10.1109/APEMC.2013.7360641
2012年度
135
Takuya Sawada, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata, "Measurements of SRAM Sensitivity against AC Power Noise with Effects of Device Variation," Proc. 2013 IEEE International Conference on Microelectronic Test Structures (ICMTS 2013), #4.2, pp. 77-80, Mar. 2013, (Osaka)DOI: 10.1109/ICMTS.2013.6528149
136
Satoshi Takaya, Makoto Nagata, Atsushi Sakai, Takashi Kariya, Shiro Uchiyama, Harufumi Kobayashi, Hiroaki Ikeda, "A 100GB/s Wide I/O with 4096b TSVs Through an Active Silicon Interposer with In-Place Waveform Capturing," Dig. Tech. Papers, 2013 IEEE International Solid-State Circuits Conference (ISSCC), #24.8, pp. 434-435, Feb. 2013, (San Francisco)DOI: 10.1109/ISSCC.2013.6487803
137
Kumpei Yoshikawa, Makoto Nagata, "Co-simulation of AC Power Noise of CMOS Microprocessor using Capacitor Charging Modeling," Proc. IEEE CPMT Symposium Japan 2012 #19-2, pp. 293-296, Dec. 2012. (Kyoto)DOI: 10.1109/ICSJ.2012.6523442
138
Takeshi Okumoto, Kumpei Yoshikawa, Makoto Nagata, "Monitoring Effective Supply Voltage within Power Rails of Integrated Circuits," Proc. 2012 IEEE Asian Solid-State Circuits Conference (A-SSCC 2012), #4-4, pp. 113-116, Nov. 2012. (Kobe)DOI: 10.1109/IPEC.2012.6522640
139
Takuya Sawada, Hidehiro Takata, Koji Nii, Makoto Nagata, "Sensitivity of SRAM Operation against AC Power Supply Voltage Variation," Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials (SSDM 2012), #J-3-1, pp. 1128-1129, Sep. 2012. (Kyoto)DOI: 10.7567/SSDM.2012.J-3-1
140
Sho Endo, Yuichi Hayashi, Naofumi Homma, Takafumi Aoki, Toshihiro Katashita, Yohei Hori, Kazuo Sakiyama, Makoto Nagata, Jean-Luc Danger, Thanh-Ha Le and Pirouz Bazargan Sabet, "Measurement of Side-Channel Information from Cryptographic Devices on Security Evaluation Platform: Demonstration of SPACES Project," SICE Annual Conference 2012, #TuA11-05, pp.313-316, Aug. 2012. (Akita)
141
Yuta Sasaki, Kumpei Yoshikawa, Kouji Ichikawa, Makoto Nagata, "Co-Evaluation of Power Supply Noise of CMOS Microprocessor using On-Boar Magnetic Probing and On-Chip Waveform Capturing Techniques," IEEE 2012 International Meeting for Future of Electron Devices, Kansai (IMFEDK 2012), #S-1, pp. 70-71, May. 2012. (Osaka)DOI: 10.1109/IMFEDK.2012.6218586
2011年度
142
Yuuki Araga, Makoto Nagata, Geert Van der Plas, Jaemin Kim, Nikolaos Minas, Pol Marchal, Youssef Travaly, Michael Libois, Antonio La Manna, Wenqi Zhang, Eric Beyne, "In-Tier Diagnosis of Power Domains in 3D TSV ICs," IEEE International 3D System Integration Conference (3DIC 2011), #7-2, pp. 7.2.1-7.2.4, Jan. 2012. (Osaka)DOI: 10.1109/3DIC.2012.6262964
143
Naoya Azuma, Yasutaka Kanda, Makoto Nagata, "Extraction of Lumped RC Elements Representing Substrate Coupling of RF Devices," IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2011), #FR2B-3, pp. 217-220, Dec. 2011. (Beijing)DOI: 10.1109/RFIT.2011.6141784
144
Makoto Nagata, Xihua Lin, Naoya Azuma, Masahiro Yamaguchi, "Evaluation of Substrate Noise Coupling in RFICs (Invited)," IEEE International Symposium on Radio-Frequency Integration Technology (RFIT 2011), #TH3B-1, pp. 141-144, Dec. 2011. (Beijing)DOI: 10.1109/RFIT.2011.6141785
145
Kumpei Yoshikawa, Yuuta Sasaki, Kouji Ichikawa, Yoshiyuki Saito, Makoto Nagata, "Measurements and Co-Simulation of On-Chip and On-Board AC Power Noise in Digital Integrated Circuits," IEEE 8th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2011), #S3P2, pp. 76-81, Nov. 2011. (Dubrovnik)
146
Takuya Sawada, Taku Toshikawa, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata, "Immunity Evaluation of SRAM Core Using DPI with On-Chip Diagnosis Structures," IEEE 8th International Workshop on Electromagnetic Compatibility of Integrated Circuits (EMC Compo 2011), #S2P6, pp. 65-70, Nov. 2011. (Dubrovnik).
147
Masahiro Yamaguchi, Sho Muroga, Yasushi Endo, Wataru Kodate, Kumpei Yoshikawa, Yuta Sasaki, Makoto Nagata, "Performance of Integrated Magnetic Thin Film Noise Suppressor Applied to CMOS Noise Test Chips," The 41st European Microwave Conference (EuMA), #03-3, pp. 49-52, Oct. 2011. (Manchester)DOI: 10.23919/EuMC.2011.6101991
148
Daisuke Fujimoto, Makoto Nagata, Toshihiro Katashita, Akihiko Sasaki, Yohei Hori, Akashi Satoh, "A Fast Power Current Analysis Methodology Using Capacitor Charging Model for Side Channel Attack Evaluation," 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2011), #P35, pp. 87-92, Jun. 2011. (San Diego)DOI: 10.1109/HST.2011.5955002
149
Kumpei Yoshikawa, Takushi Hashida, Makoto Nagata, "An On-Chip Waveform Capturer for Diagnosing Off-Chip Power Delivery (Invited)," 2011 IEEE International Conference on Integrated Circuit Design and Technology (ICICDT 2011), #C3, May. 2011. (Kaoshiung).DOI: 10.1109/ICICDT.2011.5783194
150
Takushi Hashida, Yuuki Araga, Makoto Nagata, "A Diagnosis Testbench of Analog IP Cores Against On-Chip Environmental Disturbances," 2011 29th IEEE VLSI Test Symposium, #2B3, pp. 70-75, May. 2011. (Dana Point)DOI: 10.1109/VTS.2011.5783757
151
Sho Muroga, Yasushi Endo, Wataru Kodate, Yoshiaki Sasaki, Kumpei Yoshikawa, Yuta Sasaki, Makoto Nagata, Masahiro Yamaguchi, "Evaluation of Thin Film Noise Suppressor Applied to Noise Emulator Chip Implemented in 65 nm CMOS Technology," IEEE International Magnetics Conference (Intermag 2011), #HH-03, pp. 1-4, Apr. 2011, (Taipei)